From c6b63d3715e23270f97975726eabe7ec4a86b9e6 Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Wed, 25 Sep 2024 08:21:40 -0400 Subject: [PATCH 01/13] switch backend to Vitis --- docker/Dockerfile.vitis | 49 + docker/install_vitis.sh | 27 + docker/start-notebook.sh | 4 +- docker/vitis_cfg.txt | 33 + part1_getting_started.ipynb | 1729 +++++++++++++++++++++- part2_advanced_config.ipynb | 1806 +++++++++++++++++++++- part3_compression.ipynb | 1982 ++++++++++++++++++++++++- part4_quantization.ipynb | 2451 +++++++++++++++++++++++++++++- part5_bdt.ipynb | 171 ++- part6_cnns.ipynb | 2470 +++++++++++++++++++++++++++++-- part7a_bitstream.ipynb | 256 +++- part7b_deployment.ipynb | 2 +- part7c_validation.ipynb | 2 +- part8_symbolic_regression.ipynb | 65 +- 14 files changed, 10780 insertions(+), 267 deletions(-) create mode 100644 docker/Dockerfile.vitis create mode 100644 docker/install_vitis.sh create mode 100644 docker/vitis_cfg.txt diff --git a/docker/Dockerfile.vitis b/docker/Dockerfile.vitis new file mode 100644 index 00000000..1f57a928 --- /dev/null +++ b/docker/Dockerfile.vitis @@ -0,0 +1,49 @@ +FROM jupyter/tensorflow-notebook:tensorflow-2.11.1 + +# Install prequisites +USER root +RUN apt-get update -y && \ + apt-get install --no-install-recommends -y \ + curl \ + libtinfo5 \ + libc6-dev-i386 \ + net-tools \ + graphviz \ + make \ + unzip \ + g++ \ + xvfb \ + git \ + libncursesw5 \ + libc6-dev-i386 && \ + apt-get clean && \ + rm -rf /var/lib/apt/lists/* + +# Install Vitis 2024.1 +COPY docker/vitis_cfg.txt /tmp/vitis_cfg.txt +COPY docker/install_vitis.sh /tmp/install_vitis.sh +RUN source /tmp/install_vitis.sh && rm /tmp/install_vitis.sh + +# Install hls4ml and dependencies +USER ${NB_USER} +RUN mamba install -y -c conda-forge \ + graphviz==7.1.0 \ + pydot==1.4.2 \ + tensorflow-datasets==4.8.3 \ + jupyter-book==0.15.1 \ + jupyter_contrib_nbextensions==0.7.0 +RUN pip install \ + hls4ml[profiling]==0.8.0 \ + qkeras==0.9.0 \ + conifer==0.2b0 \ + pysr==0.16.3 +USER root +RUN mamba clean --all -f -y && \ + mamba list && \ + fix-permissions "${CONDA_DIR}" && \ + fix-permissions "/home/${NB_USER}" + +LABEL org.opencontainers.image.source https://github.com/fastmachinelearning/hls4ml-tutorial + +# ENV XILINX_VIVADO /opt/Xilinx/Vitis_HLS/2024.1 +COPY docker/start-notebook.sh /usr/local/bin/ diff --git a/docker/install_vitis.sh b/docker/install_vitis.sh new file mode 100644 index 00000000..61389809 --- /dev/null +++ b/docker/install_vitis.sh @@ -0,0 +1,27 @@ +#!/usr/bin/env bash + +function main() { + # Install Vivado; to speed up build, download files from local webserver + # See: https://stackoverflow.com/questions/26692708/how-to-add-a-file-to-an-image-in-dockerfile-without-using-the-add-or-copy-direct + cd /tmp/ + curl http://10.164.29.48:8000//FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz?dl=1 -L -o FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz + # curl http://169.228.130.58:8000/vivado.tar.gz -o vivado.tar.gz + tar -xzf FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz --no-same-owner + cd FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023 + ./xsetup -a XilinxEULA,3rdPartyEULA -b Install -c /tmp/vitis_cfg.txt + ./installLibs.sh + cd .. + rm -rf FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023 + rm FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz + rm /tmp/vitis_cfg.txt + ls -lah /opt/Xilinx/ + + # Install the pynq-z2 board files + curl https://www.dropbox.com/s/meufyrhgcg38i12/pynq-z2.zip?dl=1 -L -o pynq-z2.zip + # curl http://169.228.130.58:8000/pynq-z2.zip -o pynq-z2.zip + unzip pynq-z2.zip + rm pynq-z2.zip + mv pynq-z2 /opt/Xilinx/Vitis_HLS/2024.1/data/boards/board_files/ +} + +main "$@" || exit 1 diff --git a/docker/start-notebook.sh b/docker/start-notebook.sh index d0716b4c..d90d3c0e 100755 --- a/docker/start-notebook.sh +++ b/docker/start-notebook.sh @@ -4,8 +4,8 @@ set -e -# setup vivado 2019.2 -source /opt/Xilinx/Vivado/2019.2/settings64.sh +# setup vivado 2024.1 +source /opt/Xilinx/Vitis_HLS/2024.1/settings64.sh # The Jupyter command to launch # JupyterLab by default diff --git a/docker/vitis_cfg.txt b/docker/vitis_cfg.txt new file mode 100644 index 00000000..02163acf --- /dev/null +++ b/docker/vitis_cfg.txt @@ -0,0 +1,33 @@ +#### Vitis Unified Software Platform Install Configuration #### +Edition=Vitis Unified Software Platform + +Product=Vitis + +# Path where AMD FPGAs & Adaptive SoCs software will be installed. +Destination=/opt/Xilinx/ + +# Choose the Products/Devices the you would like to install. +Modules=SoCs:0,Versal AI Core Series ES1:0,Engineering Sample Devices for Custom Platforms:0,Versal ACAP:0,Vitis IP Cache (Enable faster on-boarding for new users):0,Versal HBM Series ES1:0,Versal AI Edge Series ES1:0,Versal Prime Series ES1:0,Versal Premium Series ES1:0,Power Design Manager (PDM):0,UltraScale+:0,Vitis Networking P4:0,Vitis Unified Software Platform:0,Devices for Custom Platforms:0,DocNav:0,Virtex UltraScale+ HBM ES:0 + +# Choose the post install scripts you'd like to run as part of the finalization step. Please note that some of these scripts may require user interaction during runtime. +InstallOptions= + +## Shortcuts and File associations ## +# Choose whether Start menu/Application menu shortcuts will be created or not. +CreateProgramGroupShortcuts=1 + +# Choose the name of the Start menu/Application menu shortcut. This setting will be ignored if you choose NOT to create shortcuts. +ProgramGroupFolder=Xilinx Design Tools + +# Choose whether shortcuts will be created for All users or just the Current user. Shortcuts can be created for all users only if you run the installer as administrator. +CreateShortcutsForAllUsers=0 + +# Choose whether shortcuts will be created on the desktop or not. +CreateDesktopShortcuts=1 + +# Choose whether file associations will be created or not. +CreateFileAssociation=1 + +# Choose whether disk usage will be optimized (reduced) after installation +EnableDiskUsageOptimization=1 + diff --git a/part1_getting_started.ipynb b/part1_getting_started.ipynb index 6afe89b8..4dac331d 100644 --- a/part1_getting_started.ipynb +++ b/part1_getting_started.ipynb @@ -9,9 +9,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:13:05.837661: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-19 11:13:05.840334: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:13:05.874828: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-19 11:13:05.874849: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-19 11:13:05.874870: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-19 11:13:05.880729: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:13:05.881215: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-19 11:13:06.622714: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -27,7 +43,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -39,7 +55,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -57,11 +73,47 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": { "scrolled": true }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "['zlogz', 'c1_b0_mmdt', 'c1_b1_mmdt', 'c1_b2_mmdt', 'c2_b1_mmdt', 'c2_b2_mmdt', 'd2_b1_mmdt', 'd2_b2_mmdt', 'd2_a1_b1_mmdt', 'd2_a1_b2_mmdt', 'm2_b1_mmdt', 'm2_b2_mmdt', 'n2_b1_mmdt', 'n2_b2_mmdt', 'mass_mmdt', 'multiplicity']\n", + "(830000, 16) (830000,)\n", + " zlogz c1_b0_mmdt c1_b1_mmdt c1_b2_mmdt c2_b1_mmdt c2_b2_mmdt \\\n", + "0 -2.935125 0.383155 0.005126 0.000084 0.009070 0.000179 \n", + "1 -1.927335 0.270699 0.001585 0.000011 0.003232 0.000029 \n", + "2 -3.112147 0.458171 0.097914 0.028588 0.124278 0.038487 \n", + "3 -2.666515 0.437068 0.049122 0.007978 0.047477 0.004802 \n", + "4 -2.484843 0.428981 0.041786 0.006110 0.023066 0.001123 \n", + "\n", + " d2_b1_mmdt d2_b2_mmdt d2_a1_b1_mmdt d2_a1_b2_mmdt m2_b1_mmdt \\\n", + "0 1.769445 2.123898 1.769445 0.308185 0.135687 \n", + "1 2.038834 2.563099 2.038834 0.211886 0.063729 \n", + "2 1.269254 1.346238 1.269254 0.246488 0.115636 \n", + "3 0.966505 0.601864 0.966505 0.160756 0.082196 \n", + "4 0.552002 0.183821 0.552002 0.084338 0.048006 \n", + "\n", + " m2_b2_mmdt n2_b1_mmdt n2_b2_mmdt mass_mmdt multiplicity \n", + "0 0.083278 0.412136 0.299058 8.926882 75.0 \n", + "1 0.036310 0.310217 0.226661 3.886512 31.0 \n", + "2 0.079094 0.357559 0.289220 162.144669 61.0 \n", + "3 0.033311 0.238871 0.094516 91.258934 39.0 \n", + "4 0.014450 0.141906 0.036665 79.725777 35.0 \n", + "0 g\n", + "1 w\n", + "2 t\n", + "3 z\n", + "4 w\n", + "Name: class, dtype: category\n", + "Categories (5, object): ['g', 'q', 't', 'w', 'z']\n" + ] + } + ], "source": [ "print(data['feature_names'])\n", "print(X.shape, y.shape)\n", @@ -80,9 +132,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[[1. 0. 0. 0. 0.]\n", + " [0. 0. 0. 1. 0.]\n", + " [0. 0. 1. 0. 0.]\n", + " [0. 0. 0. 0. 1.]\n", + " [0. 0. 0. 1. 0.]]\n" + ] + } + ], "source": [ "le = LabelEncoder()\n", "y = le.fit_transform(y)\n", @@ -93,7 +157,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ @@ -104,7 +168,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, "outputs": [], "source": [ @@ -126,7 +190,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, "outputs": [], "source": [ @@ -139,9 +203,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:15:52.863011: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", + "Skipping registering GPU devices...\n" + ] + } + ], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -167,9 +240,566 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n", + " 1/487 [..............................] - ETA: 6:19 - loss: 1.7504 - accuracy: 0.1377WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0018s vs `on_train_batch_end` time: 0.0030s). Check your callbacks.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0018s vs `on_train_batch_end` time: 0.0030s). Check your callbacks.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "477/487 [============================>.] - ETA: 0s - loss: 0.9472 - accuracy: 0.6851\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.81917, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.81917, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 1: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 1: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.9445 - accuracy: 0.6862 - val_loss: 0.8192 - val_accuracy: 0.7359 - lr: 0.0010\n", + "Epoch 2/30\n", + " 49/487 [==>...........................] - ETA: 0s - loss: 0.8095 - accuracy: 0.7369" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "480/487 [============================>.] - ETA: 0s - loss: 0.7926 - accuracy: 0.7429\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 2: val_loss improved from 0.81917 to 0.78046, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 2: val_loss improved from 0.81917 to 0.78046, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 2: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 2: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7923 - accuracy: 0.7430 - val_loss: 0.7805 - val_accuracy: 0.7461 - lr: 0.0010\n", + "Epoch 3/30\n", + "473/487 [============================>.] - ETA: 0s - loss: 0.7657 - accuracy: 0.7498\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 3: val_loss improved from 0.78046 to 0.76263, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 3: val_loss improved from 0.78046 to 0.76263, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 3: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 3: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7655 - accuracy: 0.7498 - val_loss: 0.7626 - val_accuracy: 0.7499 - lr: 0.0010\n", + "Epoch 4/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.7486 - accuracy: 0.7546\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 4: val_loss improved from 0.76263 to 0.74627, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 4: val_loss improved from 0.76263 to 0.74627, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 4: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 4: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7486 - accuracy: 0.7545 - val_loss: 0.7463 - val_accuracy: 0.7558 - lr: 0.0010\n", + "Epoch 5/30\n", + "465/487 [===========================>..] - ETA: 0s - loss: 0.7381 - accuracy: 0.7570\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 5: val_loss improved from 0.74627 to 0.73970, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 5: val_loss improved from 0.74627 to 0.73970, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 5: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 5: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7377 - accuracy: 0.7571 - val_loss: 0.7397 - val_accuracy: 0.7572 - lr: 0.0010\n", + "Epoch 6/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.7305 - accuracy: 0.7589\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 6: val_loss improved from 0.73970 to 0.73316, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 6: val_loss improved from 0.73970 to 0.73316, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 6: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 6: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7306 - accuracy: 0.7589 - val_loss: 0.7332 - val_accuracy: 0.7585 - lr: 0.0010\n", + "Epoch 7/30\n", + "485/487 [============================>.] - ETA: 0s - loss: 0.7250 - accuracy: 0.7603\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 7: val_loss improved from 0.73316 to 0.72716, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 7: val_loss improved from 0.73316 to 0.72716, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 7: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 7: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7250 - accuracy: 0.7603 - val_loss: 0.7272 - val_accuracy: 0.7599 - lr: 0.0010\n", + "Epoch 8/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.7202 - accuracy: 0.7615\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 8: val_loss improved from 0.72716 to 0.72391, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 8: val_loss improved from 0.72716 to 0.72391, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 8: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 8: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7202 - accuracy: 0.7615 - val_loss: 0.7239 - val_accuracy: 0.7603 - lr: 0.0010\n", + "Epoch 9/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.7161 - accuracy: 0.7622\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 9: val_loss improved from 0.72391 to 0.72074, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 9: val_loss improved from 0.72391 to 0.72074, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 9: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 9: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7159 - accuracy: 0.7622 - val_loss: 0.7207 - val_accuracy: 0.7607 - lr: 0.0010\n", + "Epoch 10/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.7126 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 10: val_loss improved from 0.72074 to 0.71820, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 10: val_loss improved from 0.72074 to 0.71820, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 10: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 10: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 10: saving model to model_1/KERAS_check_model_epoch10.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7126 - accuracy: 0.7631 - val_loss: 0.7182 - val_accuracy: 0.7615 - lr: 0.0010\n", + "Epoch 11/30\n", + "466/487 [===========================>..] - ETA: 0s - loss: 0.7101 - accuracy: 0.7633\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 11: val_loss improved from 0.71820 to 0.71512, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 11: val_loss improved from 0.71820 to 0.71512, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 11: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 11: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7097 - accuracy: 0.7635 - val_loss: 0.7151 - val_accuracy: 0.7620 - lr: 0.0010\n", + "Epoch 12/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.7071 - accuracy: 0.7642\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 12: val_loss improved from 0.71512 to 0.71211, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 12: val_loss improved from 0.71512 to 0.71211, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 12: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 12: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7071 - accuracy: 0.7641 - val_loss: 0.7121 - val_accuracy: 0.7633 - lr: 0.0010\n", + "Epoch 13/30\n", + "478/487 [============================>.] - ETA: 0s - loss: 0.7047 - accuracy: 0.7647\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 13: val_loss improved from 0.71211 to 0.70987, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 13: val_loss improved from 0.71211 to 0.70987, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 13: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 13: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7046 - accuracy: 0.7647 - val_loss: 0.7099 - val_accuracy: 0.7631 - lr: 0.0010\n", + "Epoch 14/30\n", + "479/487 [============================>.] - ETA: 0s - loss: 0.7029 - accuracy: 0.7649\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 14: val_loss improved from 0.70987 to 0.70846, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 14: val_loss improved from 0.70987 to 0.70846, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 14: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 14: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7030 - accuracy: 0.7648 - val_loss: 0.7085 - val_accuracy: 0.7635 - lr: 0.0010\n", + "Epoch 15/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.7018 - accuracy: 0.7653\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 15: val_loss improved from 0.70846 to 0.70614, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 15: val_loss improved from 0.70846 to 0.70614, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 15: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 15: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7015 - accuracy: 0.7654 - val_loss: 0.7061 - val_accuracy: 0.7636 - lr: 0.0010\n", + "Epoch 16/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.6999 - accuracy: 0.7654\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 16: val_loss did not improve from 0.70614\n", + "\n", + "Epoch 16: val_loss did not improve from 0.70614\n", + "\n", + "Epoch 16: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 16: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6998 - accuracy: 0.7655 - val_loss: 0.7075 - val_accuracy: 0.7632 - lr: 0.0010\n", + "Epoch 17/30\n", + "468/487 [===========================>..] - ETA: 0s - loss: 0.6985 - accuracy: 0.7658\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 17: val_loss improved from 0.70614 to 0.70368, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 17: val_loss improved from 0.70614 to 0.70368, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 17: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 17: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6988 - accuracy: 0.7656 - val_loss: 0.7037 - val_accuracy: 0.7634 - lr: 0.0010\n", + "Epoch 18/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6968 - accuracy: 0.7657\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 18: val_loss did not improve from 0.70368\n", + "\n", + "Epoch 18: val_loss did not improve from 0.70368\n", + "\n", + "Epoch 18: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 18: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6970 - accuracy: 0.7656 - val_loss: 0.7044 - val_accuracy: 0.7635 - lr: 0.0010\n", + "Epoch 19/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.6969 - accuracy: 0.7659\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 19: val_loss improved from 0.70368 to 0.70234, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 19: val_loss improved from 0.70368 to 0.70234, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 19: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 19: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6964 - accuracy: 0.7660 - val_loss: 0.7023 - val_accuracy: 0.7642 - lr: 0.0010\n", + "Epoch 20/30\n", + "468/487 [===========================>..] - ETA: 0s - loss: 0.6953 - accuracy: 0.7659\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 20: val_loss improved from 0.70234 to 0.70147, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 20: val_loss improved from 0.70234 to 0.70147, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 20: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 20: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 20: saving model to model_1/KERAS_check_model_epoch20.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6952 - accuracy: 0.7659 - val_loss: 0.7015 - val_accuracy: 0.7640 - lr: 0.0010\n", + "Epoch 21/30\n", + "470/487 [===========================>..] - ETA: 0s - loss: 0.6939 - accuracy: 0.7662\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 21: val_loss did not improve from 0.70147\n", + "\n", + "Epoch 21: val_loss did not improve from 0.70147\n", + "\n", + "Epoch 21: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 21: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6940 - accuracy: 0.7662 - val_loss: 0.7021 - val_accuracy: 0.7634 - lr: 0.0010\n", + "Epoch 22/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.6936 - accuracy: 0.7660\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 22: val_loss improved from 0.70147 to 0.69795, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 22: val_loss improved from 0.70147 to 0.69795, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 22: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 22: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6936 - accuracy: 0.7660 - val_loss: 0.6980 - val_accuracy: 0.7647 - lr: 0.0010\n", + "Epoch 23/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7665\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 23: val_loss improved from 0.69795 to 0.69689, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 23: val_loss improved from 0.69795 to 0.69689, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 23: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 23: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6928 - accuracy: 0.7666 - val_loss: 0.6969 - val_accuracy: 0.7650 - lr: 0.0010\n", + "Epoch 24/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.6920 - accuracy: 0.7665\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 24: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 24: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 24: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 24: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6919 - accuracy: 0.7665 - val_loss: 0.6981 - val_accuracy: 0.7645 - lr: 0.0010\n", + "Epoch 25/30\n", + "469/487 [===========================>..] - ETA: 0s - loss: 0.6909 - accuracy: 0.7664\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 25: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 25: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 25: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 25: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6909 - accuracy: 0.7664 - val_loss: 0.6989 - val_accuracy: 0.7639 - lr: 0.0010\n", + "Epoch 26/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.6908 - accuracy: 0.7666\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 26: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 26: val_loss did not improve from 0.69689\n", + "\n", + "Epoch 26: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 26: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6909 - accuracy: 0.7666 - val_loss: 0.6970 - val_accuracy: 0.7642 - lr: 0.0010\n", + "Epoch 27/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6897 - accuracy: 0.7669\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 27: val_loss improved from 0.69689 to 0.69672, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 27: val_loss improved from 0.69689 to 0.69672, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 27: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 27: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6894 - accuracy: 0.7671 - val_loss: 0.6967 - val_accuracy: 0.7648 - lr: 0.0010\n", + "Epoch 28/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.6888 - accuracy: 0.7670\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 28: val_loss improved from 0.69672 to 0.69474, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 28: val_loss improved from 0.69672 to 0.69474, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 28: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 28: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6889 - accuracy: 0.7670 - val_loss: 0.6947 - val_accuracy: 0.7647 - lr: 0.0010\n", + "Epoch 29/30\n", + "479/487 [============================>.] - ETA: 0s - loss: 0.6885 - accuracy: 0.7672\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 29: val_loss did not improve from 0.69474\n", + "\n", + "Epoch 29: val_loss did not improve from 0.69474\n", + "\n", + "Epoch 29: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 29: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6885 - accuracy: 0.7671 - val_loss: 0.6958 - val_accuracy: 0.7642 - lr: 0.0010\n", + "Epoch 30/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.6882 - accuracy: 0.7671\n", + "***callbacks***\n", + "saving losses to model_1/losses.log\n", + "\n", + "Epoch 30: val_loss improved from 0.69474 to 0.69367, saving model to model_1/KERAS_check_best_model.h5\n", + "\n", + "Epoch 30: val_loss improved from 0.69474 to 0.69367, saving model to model_1/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 30: saving model to model_1/KERAS_check_model_last.h5\n", + "\n", + "Epoch 30: saving model to model_1/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 30: saving model to model_1/KERAS_check_model_epoch30.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6882 - accuracy: 0.7671 - val_loss: 0.6937 - val_accuracy: 0.7652 - lr: 0.0010\n" + ] + } + ], "source": [ "train = True\n", "if train:\n", @@ -209,9 +839,28 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 4s 812us/step\n", + "Accuracy: 0.7643975903614458\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -231,7 +880,7 @@ "## Convert the model to FPGA firmware with hls4ml\n", "Now we will go through the steps to convert the model we trained to a low-latency optimized FPGA firmware with hls4ml.\n", "First, we will evaluate its classification performance to make sure we haven't lost accuracy using the fixed-point data types. \n", - "Then we will synthesize the model with Vivado HLS and check the metrics of latency and FPGA resource usage.\n", + "Then we will synthesize the model with Vitis HLS and check the metrics of latency and FPGA resource usage.\n", "\n", "### Make an hls4ml config & model\n", "The hls4ml Neural Network inference library is controlled through a configuration dictionary.\n", @@ -240,19 +889,58 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 27, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "Configuration\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "-----------------------------------\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n" + ] + } + ], "source": [ "import hls4ml\n", "\n", - "config = hls4ml.utils.config_from_keras_model(model, granularity='model')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='model', backend='Vitis')\n", "print(\"-----------------------------------\")\n", "print(\"Configuration\")\n", "plotting.print_dict(config)\n", "print(\"-----------------------------------\")\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_1/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='Vitis', output_dir='model_1/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", ")" ] }, @@ -265,9 +953,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 28, "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "execution_count": 28, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hls4ml.utils.plot_model(hls_model, show_shapes=True, show_precision=True, to_file=None)" ] @@ -282,9 +982,32 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 29, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing HLS project\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n" + ] + } + ], "source": [ "hls_model.compile()\n", "X_test = np.ascontiguousarray(X_test)\n", @@ -301,9 +1024,38 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 30, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Keras Accuracy: 0.7643975903614458\n", + "hls4ml Accuracy: 0.7639518072289156\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -327,22 +1079,515 @@ "metadata": {}, "source": [ "## Synthesize\n", - "Now we'll actually use Vivado HLS to synthesize the model. We can run the build using a method of our `hls_model` object.\n", + "Now we'll actually use Vitis HLS to synthesize the model. We can run the build using a method of our `hls_model` object.\n", "After running this step, we can integrate the generated IP into a workflow to compile for a specific FPGA board.\n", - "In this case, we'll just review the reports that Vivado HLS generates, checking the latency and resource usage.\n", + "In this case, we'll just review the reports that Vitis HLS generates, checking the latency and resource usage.\n", "\n", "**This can take several minutes.**\n", "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_1/hls4ml_prj/vivado_hls.log`" + "`tail -f model_1/hls4ml_prj/vitis_hls.log`" ] }, { "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], + "execution_count": 31, + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", + " **** SW Build 5069499 on May 21 2024\n", + " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", + " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", + " **** Start of session at: Thu Sep 19 11:30:15 2024\n", + " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", + " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", + "\n", + "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", + "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:30:16 EDT 2024\n", + "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj'\n", + "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", + "Sourcing Tcl script 'build_prj.tcl'\n", + "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", + "INFO: [HLS 200-10] Opening project '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj'.\n", + "INFO: [HLS 200-1510] Running: set_top myproject \n", + "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", + "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", + "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", + "INFO: [HLS 200-1510] Running: open_solution solution1 \n", + "INFO: [HLS 200-10] Opening solution '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1'.\n", + "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", + "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", + "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", + "INFO: [HLS 200-1505] Using flow_target 'vivado'\n", + "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", + "INFO: [HLS 200-1464] Running solution command: config_compile -name_max_length=80\n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1464] Running solution command: config_schedule -enable_dsp_full_reg=0\n", + "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", + "SYNTAX \n", + " config_array_partition [OPTIONS]\n", + " -auto_partition_threshold *** DEPRECATED***\n", + " -auto_promotion_threshold *** DEPRECATED***\n", + " -complete_threshold \n", + " -throughput_driven \n", + "\n", + "SEE ALSO\n", + " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", + " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", + "\n", + "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", + "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", + "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", + "***** C/RTL SYNTHESIS *****\n", + "INFO: [HLS 200-1510] Running: csynth_design \n", + "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.06 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.07 seconds; current allocated memory: 379.289 MB.\n", + "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", + "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", + "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.26 seconds. CPU system time: 0.91 seconds. Elapsed time: 9.22 seconds; current allocated memory: 383.113 MB.\n", + "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", + "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "WARNING: [HLS 200-1995] There were 248,375 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 74,760 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 74,463 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 73,998 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 26,628 instructions in the design after the 'Array/Struct' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,704 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,656 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,434 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,240 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,240 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,246 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,253 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", + "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", + "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", + "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", + "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", + "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", + "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", + "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", + "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 67.28 seconds. CPU system time: 0.75 seconds. Elapsed time: 70.3 seconds; current allocated memory: 398.832 MB.\n", + "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 398.832 MB.\n", + "INFO: [HLS 200-10] Starting code transformations ...\n", + "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.31 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 412.770 MB.\n", + "INFO: [HLS 200-10] Checking synthesizability ...\n", + "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.4 seconds. CPU system time: 0 seconds. Elapsed time: 0.4 seconds; current allocated memory: 420.406 MB.\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...823 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...1663 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...940 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:21)...148 expression(s) balanced.\n", + "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.24 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.26 seconds; current allocated memory: 470.223 MB.\n", + "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.93 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.97 seconds; current allocated memory: 596.523 MB.\n", + "INFO: [HLS 200-10] Starting hardware synthesis ...\n", + "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 3.03 seconds. CPU system time: 0.05 seconds. Elapsed time: 3.09 seconds; current allocated memory: 630.383 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 3.69 seconds. CPU system time: 0.08 seconds. Elapsed time: 3.78 seconds; current allocated memory: 817.078 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.39 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.42 seconds; current allocated memory: 817.078 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 817.078 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 4.43 seconds. CPU system time: 0.01 seconds. Elapsed time: 4.44 seconds; current allocated memory: 817.078 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 10.89 seconds. CPU system time: 0.15 seconds. Elapsed time: 11.07 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.52 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.54 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 2.24 seconds. CPU system time: 0.01 seconds. Elapsed time: 2.25 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 2.84 seconds. CPU system time: 0.01 seconds. Elapsed time: 2.86 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.31 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.58 seconds. CPU system time: 0 seconds. Elapsed time: 0.58 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.18 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 15, function 'myproject'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.17 seconds. CPU system time: 0 seconds. Elapsed time: 0.17 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.09 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.09 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' is 10746 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter1 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 50 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 24 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 48 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 42 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 63 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 78 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.95 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.97 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.74 seconds. CPU system time: 0.07 seconds. Elapsed time: 1.83 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 24815 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 62 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 23 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 43 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 39 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 88 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 76 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 115 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 63 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.08 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.1 seconds; current allocated memory: 1.129 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 2.72 seconds. CPU system time: 0.09 seconds. Elapsed time: 2.84 seconds; current allocated memory: 1.130 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 13489 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 61 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 35 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 27 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 64 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 29 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.6 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.61 seconds; current allocated memory: 1.135 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.23 seconds. CPU system time: 0.07 seconds. Elapsed time: 1.33 seconds; current allocated memory: 1.200 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 19 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.25 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.27 seconds; current allocated memory: 1.228 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.237 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.39 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 1.252 GB.\n", + "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.86 seconds. CPU system time: 0.04 seconds. Elapsed time: 0.9 seconds; current allocated memory: 1.273 GB.\n", + "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.78 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.83 seconds; current allocated memory: 1.327 GB.\n", + "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", + "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", + "INFO: [HLS 200-789] **** Estimated Fmax: 228.79 MHz\n", + "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:02:06; Allocated memory: 979.324 MB.\n", + "***** C/RTL SYNTHESIS COMPLETED IN 0h2m6s *****\n", + "INFO: [HLS 200-112] Total CPU user time: 124.59 seconds. Total CPU system time: 2.85 seconds. Total elapsed time: 129.79 seconds; peak allocated memory: 1.327 GB.\n", + "Vivado synthesis report not found.\n", + "Cosim report not found.\n", + "Timing report not found.\n" + ] + }, + { + "data": { + "text/plain": [ + "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", + " 'EstimatedClockPeriod': '4.371',\n", + " 'BestLatency': '14',\n", + " 'WorstLatency': '14',\n", + " 'IntervalMin': '1',\n", + " 'IntervalMax': '1',\n", + " 'BRAM_18K': '8',\n", + " 'DSP': '1502',\n", + " 'FF': '9363',\n", + " 'LUT': '101839',\n", + " 'URAM': '0',\n", + " 'AvailableBRAM_18K': '5376',\n", + " 'AvailableDSP': '12288',\n", + " 'AvailableFF': '3456000',\n", + " 'AvailableLUT': '1728000',\n", + " 'AvailableURAM': '1280'}}" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hls_model.build(csim=False)" ] @@ -352,14 +1597,413 @@ "metadata": {}, "source": [ "## Check the reports\n", - "Print out the reports generated by Vivado HLS. Pay attention to the Latency and the 'Utilization Estimates' sections" + "Print out the reports generated by Vitis HLS. Pay attention to the Latency and the 'Utilization Estimates' sections" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 32, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj//myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:32:21 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 14| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 1502| 5936| 101789| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3427| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 1502| 9363| 101839| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 1502| 5936| 101789| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 14| 7| 8|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", + " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", + " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", + " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", + " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", + " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", + " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3427| 0| 3427| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj/')" ] @@ -373,11 +2017,18 @@ "Calculate how many multiplications are performed for the inference of this network...\n", "(We'll discuss the outcome)" ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -391,7 +2042,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.8.6" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index 381c98cf..2b45fbf0 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -9,9 +9,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:30:57.783322: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-19 11:30:57.786066: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:30:57.821272: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-19 11:30:57.821308: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-19 11:30:57.821330: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-19 11:30:57.827431: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:30:57.827920: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-19 11:30:58.612329: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -25,7 +41,7 @@ "import plotting\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -37,7 +53,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -58,9 +74,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:32:10.058515: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", + "Skipping registering GPU devices...\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 4s 736us/step\n" + ] + } + ], "source": [ "from tensorflow.keras.models import load_model\n", "\n", @@ -87,13 +119,136 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " fc1_input\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " fc1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc2_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc3_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " output_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " softmax\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n", + "-----------------------------------\n" + ] + } + ], "source": [ "import hls4ml\n", "\n", - "config = hls4ml.utils.config_from_keras_model(model, granularity='name')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis')\n", "print(\"-----------------------------------\")\n", "plotting.print_dict(config)\n", "print(\"-----------------------------------\")" @@ -113,15 +268,137 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Profiling weights (before optimization)\n", + "Profiling weights (final / after optimization)\n", + "Profiling activations (before optimization)\n", + "32/32 [==============================] - 0s 996us/step\n", + " fc1\n", + " relu1\n", + " fc2\n", + " relu2\n", + " fc3\n", + " relu3\n", + " output\n", + " softmax\n", + "Profiling activations (final / after optimization)\n", + "Recompiling myproject with tracing\n", + "Writing HLS project\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n", + " fc1\n", + " relu1\n", + " fc2\n", + " relu2\n", + " fc3\n", + " relu3\n", + " output\n", + " softmax\n" + ] + }, + { + "data": { + "text/plain": [ + "(
,\n", + "
,\n", + "
,\n", + "
)" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAHWCAYAAAD6oMSKAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAABYw0lEQVR4nO3deVxU5f4H8M/MMDMMuwiICIIy7uJuKWquiWaapWVec0stzSw0y8jrVi5pLpj+suXexKzbze1a10wzl+rqzbTS1BQZVFzAPQEFYWCe3x/8Zn4ODDAIM+dw+LxfL146hzPn+Z5nZs58eM6mEkIIEBEREVG1p5a6ACIiIiKqGgx2RERERArBYEdERESkEAx2RERERArBYEdERESkEAx2RERERArBYEdERESkEAx2RERERArBYEdERESkEAx2MjN37lyoVCq3tNWjRw/06NHD9njfvn1QqVTYtGmTW9ofM2YMoqKi3NLW/bp9+zbGjx+P0NBQqFQqxMfHlzt/SEgIPvvsM/cUqABmsxkRERF47733pC7FTlJSElQqFc6dO+f2tq2fxX379rm9bWdduHABnp6e2L9/v9309evXo2nTptBqtQgICABQclvjCu7cdjriaL2VTqVSYe7cuVW2vHPnzkGlUiEpKanKlimXdt25nWOwcyHrF4P1x9PTE2FhYYiLi8O7776L7OzsKmknPT0dc+fOxZEjR6pkeVVJzrU5Y+HChUhKSsKkSZOwfv16jBw5ssz5V65cCV9fXzz99NNuqrD602q1mDZtGhYsWIC7d++6vf2FCxdi69atbm8XAN577z23f4lVlTfffBMPPvggunTpYpt26tQpjBkzBtHR0fjoo4/w4YcfSlhh2Y4dOwaVSoWff/650stytN45OTmYO3eurMO5M7Zv316l4U1K//jHP5CYmChJ227dzglymbVr1woA4s033xTr168XH3/8sVi4cKHo27evUKlUIjIyUhw9etTuOWazWeTm5laonUOHDgkAYu3atRV6Xl5ensjLy7M93rt3rwAgNm7cWKHl3G9t+fn54u7du1XWlis8+OCDokuXLk7Nm5+fL4KDg8XChQtdXJXy/Pnnn0Kn04m///3vbm/b29tbjB49usT0goICkZubKywWi8vabtGihejevXuJ6YWFhSI3N1cUFha6rO3KuHr1qtBqteIf//iH3fQ1a9YIACIlJcVuevFtjSvMmTNHVOQrbdGiRSIkJKRKXl9H633t2jUBQMyZM6fSy5fS5MmTS+3X3NxcYTabq6wti8UicnNzRUFBQZUt814DBgwQkZGRbm/Xyl3bOY7YuUH//v3xzDPPYOzYsUhISMDOnTvx3Xff4erVqxg0aBByc3Nt83p4eMDT09Ol9eTk5AAAdDoddDqdS9sqi1arhV6vl6x9Z1y9etXp3Srbtm3DtWvX8NRTT7m2qGrgzp07FZo/ICAAffv2ldXolUajgaenpyS799RqNTw9PaFWy3MT/emnn8LDwwMDBw60m3716lUAKPGZkXpb48j27dvRv3//Knl9S1tvV6joZ8uVPD094eHhUWXLs+7Z0mg0VbZMObXrtu2cS2NjDWcdsTt06JDD3y9cuFAAEB9++KFtmqO/Or/99lvRpUsX4e/vL7y9vUXjxo1FQkKCEOL/R9mK/1hHyLp37y5atGghDh8+LLp16yYMBoN4+eWXbb+7d7TAuqx//vOfIiEhQdSpU0d4eXmJgQMHivPnz9vVFBkZ6XCU495lllfb6NGjS/z1dPv2bTFt2jQRHh4udDqdaNy4sXjnnXdK/FUNQEyePFn861//Ei1atBA6nU40b95cfPPNNw77urgrV66IZ599VoSEhAi9Xi9atWolkpKSSvRF8Z+zZ8+WusxRo0aJqKioEtNHjx4tvL29xcWLF8Vjjz0mvL29RVBQkHjllVdK/IXojvUvbd0AlHg9tm/fLrp27Sq8vLyEj4+PeOSRR8Tx48cdrp/JZBL9+/cXPj4+4rHHHqvQ+gghxMqVK4VKpRI3btwos/68vDwxa9Ys0a5dO+Hn5ye8vLxE165dxZ49e0rMW1hYKBITE0XLli2FXq8XQUFBIi4uzvaZdNQH1ve19fNrfc0HDBggGjRo4LCmTp06ifbt29sef/zxx6Jnz54iODhY6HQ60axZM/Hee+/ZPScyMrJE28U/O3v37rV7zoYNG0S7du2Ep6enqF27thgxYoS4ePGi3TwVeb99/vnnol27dsLHx0f4+vqKli1bisTExDL7XwghHnroIdGjR49y18c6WlXatuaLL74Q8+fPF/Xq1RN6vV706tWrxGjfDz/8IIYOHSoiIiKETqcT4eHhIj4+XuTk5NjNV5ERuz///FNoNBqxYcOGMufbunWreOSRR0TdunWFTqcTDRs2FG+++aZdPzpa79GjRzt8b907enfy5EkxZMgQUatWLaHX60X79u3Fl19+ade+9T24b98+MWnSJBEcHCwCAgLKrLm8bZsQQpw9e1YAEO+8845Yvny5qF+/vvD09BQPPfSQOHbsmG2+0tbDqvg6WV+D5ORkMWLECOHn5yeCgoLEX//6V2GxWMT58+fFoEGDhK+vr6hTp45YunSpw7qs3xHObquceZ26d+9e6jKKt2u1e/du2/bP399fDBo0SPzxxx9281jXOSUlRYwePVr4+/sLPz8/MWbMGHHnzp0Sr4+z27nKqLqoTRU2cuRIvPHGG/j2228xYcIEh/OcOHECjz76KFq1aoU333wTer0eJpPJdsBys2bN8Oabb2L27Nl47rnn0K1bNwBAbGysbRk3btxA//798fTTT+OZZ55BnTp1yqxrwYIFUKlUmDFjBq5evYrExET06dMHR44cgcFgcHr9nKntXkIIDBo0CHv37sW4cePQpk0b7Ny5E6+++iouXbqEFStW2M3/n//8B1u2bMELL7wAX19fvPvuuxgyZAjOnz+P2rVrl1pXbm4uevToAZPJhBdffBENGjTAxo0bMWbMGNy6dQsvv/wymjVrhvXr12Pq1KkIDw/HK6+8AgAIDg4udbkHDhxAu3btHP6usLAQcXFxePDBB7F06VJ89913WLZsGaKjozFp0iS3rr913e5169YtTJs2DSEhIbZp69evx+jRoxEXF4fFixcjJycHa9asQdeuXfHbb7/ZnfhSUFCAuLg4dO3aFUuXLoWXl1eF16d9+/YQQuDAgQN49NFHS60/KysLf/vb3zB8+HBMmDAB2dnZ+Pvf/464uDj8/PPPaNOmjW3ecePGISkpCf3798f48eNRUFCAH3/8ET/99BM6dOiA9evXY/z48XjggQfw3HPPAQCio6Mdtjts2DCMGjUKhw4dQseOHW3T09LS8NNPP+Gdd96xTVuzZg1atGiBQYMGwcPDA//+97/xwgsvwGKxYPLkyQCAxMRETJkyBT4+Ppg5cyYAlPnZTEpKwtixY9GxY0csWrQIV65cwcqVK7F//3789ttvdqNFzrzfdu3aheHDh6N3795YvHgxAODkyZPYv38/Xn755VLrMJvNOHTokG05VomJifjkk0/wr3/9C2vWrIGPjw9atWpV6nIA4O2334Zarcb06dORmZmJJUuWYMSIETh48KBtno0bNyInJweTJk1C7dq18fPPP2PVqlW4ePEiNm7cWObyS7Nz506oVCr07du3zPmSkpLg4+ODadOmwcfHB3v27MHs2bORlZVle70drXdMTAw6deqESZMm4fHHH8cTTzwBALb+OHHiBLp06YJ69erh9ddfh7e3NzZs2IDBgwdj8+bNePzxx+3qeOGFFxAcHIzZs2eXOWLnzLbtXp988gmys7MxefJk3L17FytXrkSvXr1w7Ngx1KlTB88//zzS09Oxa9euEtuMsgwbNgzNmjXD22+/ja+//hrz589HYGAgPvjgA/Tq1QuLFy/GZ599hunTp6Njx4546KGHHC7H2W2VM6/TzJkzkZmZiYsXL9q2PT4+PqWuw3fffYf+/fujYcOGmDt3LnJzc7Fq1Sp06dIFv/76a4kT/5566ik0aNAAixYtwq+//oq//e1vCAkJsX22rJzdzlWKyyIjlTtiJ4QQ/v7+om3btrbHxf/qXLFihQAgrl27VuoyyjqOzfpXyvvvv+/wd47+iq5Xr57IysqyTd+wYYMAIFauXGmb5syIXXm1FR+x27p1qwAg5s+fbzff0KFDhUqlEiaTyTYNgNDpdHbTjh49KgCIVatWlWjrXomJiQKA+PTTT23T8vPzRefOnYWPj4/dukdGRooBAwaUuTwhio6NVKlU4pVXXnG4nvi/Yy3v1bZtW7tRHnetf3EWi0U8+uijwsfHR5w4cUIIIUR2drYICAgQEyZMsJv38uXLwt/f3266df1ef/11u3krsj5CCJGeni4AiMWLF5dZb0FBQYnjtf78809Rp04d8eyzz9qm7dmzRwAQL730ksN1tirtGLviI3aZmZlCr9eXeI2XLFkiVCqVSEtLs00rPpokhBBxcXGiYcOGdtNKO8au+Ihdfn6+CAkJES1btrQ7Bnfbtm0CgJg9e7ZtmrPvt5dffln4+flV+Lgik8lU6vvMuv0qvr0qbVvTrFkzu9dy5cqVAoDdqJGjvly0aFGJPq/IiN3IkSMd9ntxjtp+/vnnhZeXl93xwY7Wu6xj7Hr37i1iYmLslmGxWERsbKxo1KiRbZr1Pdi1a1enXidnt23WESqDwWA34nvw4EEBQEydOtU2raxj7Iqvn7UfnnvuOdu0goICER4eLlQqlXj77bdt0//8809hMBjsPnuljZxZOdpWCeH861TaMXaO2m3Tpo0ICQmxG1k7evSoUKvVYtSoUSXW+d5tjxBCPP7446J27dol2nJ2O1cZ8jyAowbx8fEp8+xY61/hX375JSwWy321odfrMXbsWKfnHzVqFHx9fW2Phw4dirp162L79u331b6ztm/fDo1Gg5deeslu+iuvvAIhBL755hu76X369LEbXWnVqhX8/Pxw5syZctsJDQ3F8OHDbdO0Wi1eeukl3L59G99//32Fa7958yaEEKhVq1ap80ycONHucbdu3exqddf6F/fWW29h27ZtSEpKQvPmzQEUjebcunULw4cPx/Xr120/Go0GDz74IPbu3VtiOcVHcCq6Pta+u379epn1ajQa2/FaFosFN2/eREFBATp06IBff/3VNt/mzZuhUqkwZ86cEsu4n+Oq/Pz80L9/f2zYsAFCCNv0L774Ap06dUL9+vVt0+4d2c7MzMT169fRvXt3nDlzBpmZmRVu+/Dhw7h69SpeeOEFu2NwBwwYgKZNm+Lrr78u8Zzy3m8BAQG4c+cOdu3aVaFabty4AQBlvtedNXbsWLtj76yj+vfWeW9f3rlzB9evX0dsbCyEEPjtt98q3KbFYsGOHTswYMCAcue9t+3s7Gxcv34d3bp1Q05ODk6dOlXhtoGibcWePXvw1FNP2ZZ5/fp13LhxA3FxcUhJScGlS5fsnjNhwgSnjv+q6LZt8ODBqFevnu3xAw88gAcffLDS2/rx48fb/q/RaNChQwcIITBu3Djb9ICAADRp0qRC2ytH2yqg6l+njIwMHDlyBGPGjEFgYKBteqtWrfDwww877B9Hn7cbN24gKyvLbrqz27nKYLCT2O3bt+1CVHHDhg1Dly5dMH78eNSpUwdPP/00NmzYUKGQV69evQoduNyoUSO7xyqVCkaj0eXX80pLS0NYWFiJ/mjWrJnt9/e694vUqlatWvjzzz/LbadRo0YlDkwvrZ2KuPcL/16enp4lduMWr7Wq1z8/Px+XL1+2+yksLLSbf8eOHZg3bx4SEhIwZMgQ2/SUlBQAQK9evRAcHGz38+2339oOFrfy8PBAeHi43bSKro+175wJXevWrUOrVq3g6emJ2rVrIzg4GF9//bVdaEpNTUVYWJjdhrmyhg0bhgsXLuC///2vrY1ffvkFw4YNs5tv//796NOnD7y9vREQEIDg4GC88cYbAHBfwc7aV02aNCnxu6ZNm5boS2feby+88AIaN26M/v37Izw8HM8++yx27NjhdE2lvdcrovh72Pqld2+d58+ft33B+vj4IDg4GN27dwdwf3156NAhXLt2zalgd+LECTz++OPw9/eHn58fgoOD8cwzz9x32wBgMpkghMCsWbNKfLasf4QU/3w1aNDAqWVXdNtWfFsPAI0bN670tr746+rv7w9PT08EBQWVmF7e9tqqtG0VUPWvU1mft2bNmuH69esldok7814GKradu188xk5CFy9eRGZmJoxGY6nzGAwG/PDDD9i7dy++/vpr7NixA1988QV69eqFb7/91qm/4ipyXJyzSntTFhYWuu2MptLaqYovnIoKDAyESqUqdSPlij4pb/0PHDiAnj172v3u7NmztmNDzp49ixEjRuDhhx/G/Pnz7eaz/uGwfv16hIaGlmij+Jlwer2+0mdwWvuu+Ma/uE8//RRjxozB4MGD8eqrryIkJAQajQaLFi1CampqpWooz8CBA+Hl5YUNGzYgNjYWGzZsgFqtxpNPPmmbJzU1Fb1790bTpk2xfPlyREREQKfTYfv27VixYsV9j7xXhDPvt5CQEBw5cgQ7d+7EN998g2+++QZr167FqFGjsG7dulKfZz1+09kv5Pup0/oeLiwsxMMPP4ybN29ixowZaNq0Kby9vXHp0iWMGTPmvvpy+/btiIqKshvxceTWrVvo3r07/Pz88OabbyI6Ohqenp749ddfMWPGjPt+Ha3Pmz59OuLi4hzOU/w7wRXbcFdy9LpWZntd1rbKVa9TRTm7fs5u5yqDwU5C1oNCS/twW6nVavTu3Ru9e/fG8uXLsXDhQsycORN79+5Fnz59qjz5W0drrIQQMJlMdgdC16pVC7du3Srx3LS0NDRs2ND2uCK1RUZG4rvvvkN2drbdKI91KD0yMtLpZZXXzu+//w6LxWIXRirTjoeHB6Kjo3H27NlK1VWV69+6desSu9msIS03NxdPPPEEAgIC8Pnnn5cIZdZdvCEhIejTp0+F18Vab0XWx9p31tGF0mzatAkNGzbEli1b7N5fxXe5RkdHY+fOnbh582aZo3YVeY96e3vj0UcfxcaNG7F8+XJ88cUX6NatG8LCwmzz/Pvf/0ZeXh6++uoru7/iHe2+drZta18lJyejV69edr9LTk6+78+GTqfDwIEDMXDgQFgsFrzwwgv44IMPMGvWrFL/4Kxfvz4MBkOl3uvOOnbsGE6fPo1169Zh1KhRtukV3X18r6+//hqPPPJIufPt27cPN27cwJYtW+wO7nd2vUt7ba3bR61We9+frdJUdNtWfFsPAKdPn7Y7MUDKu3kA5W+rKvI63c/nrbhTp04hKCgI3t7eFVmNEnWVt52rDO6KlciePXvw1ltvoUGDBhgxYkSp8928ebPENOtZf3l5eQBge4M5Clr3w3qmlNWmTZuQkZGB/v3726ZFR0fjp59+Qn5+vm3atm3bcOHCBbtlVaS2Rx55BIWFhVi9erXd9BUrVkClUtm1XxmPPPIILl++jC+++MI2raCgAKtWrYKPj49tN09Fde7cGYcPH65UXVW5/rVq1UKfPn3sfqzHZ02cOBGnT5/Gv/71L4fHSsXFxcHPzw8LFy6E2Wwu8ftr165V+fr88ssvUKlU6Ny5c5nLtf5lfO9fwgcPHrTtHrUaMmQIhBCYN29eiWXc+1xvb+8KfXaGDRuG9PR0/O1vf8PRo0dL7IZ1VF9mZibWrl1bYlnOtt2hQweEhITg/ffft33uAeCbb77ByZMnndqtWJz1WDkrtVpt++Pt3jaK02q16NChQ6Xe685y1JdCCKxcufK+lnflyhX8+uuvTvWXo7bz8/OdviWUl5cXgJLbvpCQEPTo0QMffPABMjIySjzPmc9WaSq6bdu6davd8Xw///wzDh48aPfZrOrvl4oqb1tVkdfJ29vbqV2zdevWRZs2bbBu3Tq79T5+/Di+/fZbp/4wKI2z27nK4IidG3zzzTc4deoUCgoKcOXKFezZswe7du1CZGQkvvrqqzIvSPzmm2/ihx9+wIABAxAZGYmrV6/ivffeQ3h4OLp27QqgKGQFBATg/fffh6+vL7y9vfHggw86fVxGcYGBgejatSvGjh2LK1euIDExEUaj0e6SLOPHj8emTZvQr18/PPXUU0hNTcWnn35a4lIRFalt4MCB6NmzJ2bOnIlz586hdevW+Pbbb/Hll18iPj6+1MtQVNRzzz2HDz74AGPGjMEvv/yCqKgobNq0Cfv370diYmKZxzyW5bHHHsP69etx+vRpNG7cuMLPd9f6f/311/jkk08wZMgQ/P777/j9999tv/Px8cHgwYPh5+eHNWvWYOTIkWjXrh2efvppBAcH4/z58/j666/RpUuXEoGtsuuza9cudOnSpcxLtQDAo48+ii1btuDxxx/HgAEDcPbsWbz//vto3rw5bt++bZuvZ8+eGDlyJN59912kpKSgX79+sFgs+PHHH9GzZ0+8+OKLAIouP/Ddd99h+fLlCAsLQ4MGDfDggw+W2v4jjzwCX19fTJ8+HRqNpsTxPn379rWNhD3//PO4ffs2PvroI4SEhJT4Im/fvj3WrFmD+fPnw2g0IiQkpMSIHFAUphYvXoyxY8eie/fuGD58uO1yJ1FRUZg6dWqZfebI+PHjcfPmTfTq1Qvh4eFIS0vDqlWr0KZNm3JHEx577DHMnDkTWVlZ8PPzq3DbzmratCmio6Mxffp0XLp0CX5+fti8efN97wbevn07PD09Sxyi4EhsbCxq1aqF0aNH46WXXoJKpcL69eudPtTDYDCgefPm+OKLL9C4cWMEBgaiZcuWaNmyJf7nf/4HXbt2RUxMDCZMmICGDRviypUr+O9//4uLFy/i6NGj97V+Fd22GY1GdO3aFZMmTUJeXh4SExNRu3ZtvPbaa7Z52rdvDwB46aWXEBcXB41G47ZbJjqzrarI69S+fXt88cUXmDZtGjp27AgfH58SF9m2euedd9C/f3907twZ48aNs13uxN/fv1K3WHN2O1cpLjvflmynqlt/dDqdCA0NFQ8//LBYuXKl3WU1rIqfsr97927x2GOPibCwMKHT6URYWJgYPny4OH36tN3zvvzyS9G8eXPh4eFhd9q29QLFjpR2CYLPP/9cJCQkiJCQEGEwGMSAAQPsLitgtWzZMtuFRbt06SIOHz5cYpll1eboAsXZ2dli6tSpIiwsTGi1WtGoUaMyL9BbXGmXYSnuypUrYuzYsSIoKEjodDoRExPj8BR7Zy93IkTRhXODgoLEW2+9ZTfdesHY4hxdnsEd61/8fXnvT/HXY+/evSIuLk74+/sLT09PER0dLcaMGSMOHz5c7vpVZH1u3boldDqd+Nvf/lZm7UIUXfJg4cKFIjIyUuj1etG2bVuxbds2h++ngoIC8c4774imTZsKnU4ngoODRf/+/cUvv/xim+fUqVPioYceEgaDQaCMCxTfa8SIEQKA6NOnj8Mav/rqK9GqVSvh6ekpoqKixOLFi8XHH39cYnmXL18WAwYMEL6+vgJOXKD4iy++EG3bthV6vV4EBgaWeYHi4oq/3zZt2iT69u0rQkJChE6nE/Xr1xfPP/+8yMjIcLhO97py5Yrw8PAQ69evd9iGs5c7KX77QkeXnfjjjz9Enz59hI+PjwgKChITJkywXdrn3vmcudzJ0KFDxSOPPFLu+lnt379fdOrUSRgMBhEWFiZee+01sXPnzhKvTWnrfeDAAdG+fXuh0+lKXBokNTVVjBo1SoSGhgqtVivq1asnHn30UbFp0ybbPM5cMqs4Z7Zt916geNmyZSIiIkLo9XrRrVu3Ere5LCgoEFOmTBHBwcFCpVLZ9XHxdSqtH0p7Txb/fir++ju7rXL2dbp9+7b4y1/+IgICAuyWUdplVr777jvRpUsXYTAYhJ+fnxg4cGCpFyguvs6Oth8V2c5VhkoICY40J1Kot956C2vXrkVKSorbb4tTnSUmJmLJkiVITU2tdgeK11Tjxo3D6dOn8eOPP0pdilMKCgpQu3ZtLFq0CC+88ILU5Ujq3LlzaNCgAd555x1Mnz5d6nJqDHdt53iMHVEVmjp1Km7fvo1//vOfUpdSbZjNZixfvhx//etfGeqqkTlz5uDQoUO2u+DI3c2bNzF16tQSd3Ugcgd3bud4jB1RFfLx8SlxDSoqm1arxfnz56Uugyqofv36uHv3rtRlOC0kJKRSx0YRVYY7t3McsSMiIiJSCB5jR0RERKQQHLEjIiIiUggGOyIiIiKF4MkTFWCxWJCeng5fX1/Jb7NCRERENYMQAtnZ2QgLCyv3vtwMdhWQnp6OiIgIqcsgIiKiGujChQsIDw8vcx4Guwqw3o7lwoULLr2NDhEREZFVVlYWIiIinLrlJYNdBVh3v/r5+THYERERkVs5cxgYT54gIiIiUgiO2MlQYWEhLBaL1GUQERGRk9RqtSzuEc5gJzOFhYW4ePEizGaz1KUQERGRk7RaLcLDwyUPdwx2MmOxWGA2m6FWq+HhwZeHiIhI7goKCmA2m2GxWBjsyDEPDw8GOyIiomoiPz9f6hIA8OQJIiIiIsVgsCMiIiJSCAY7IiIiIoXgQVxERC7w85E/cOX6n1KXIUt1gmrhgTbNpS6DSJEY7IiIqtjPR/7A4wMelroMWfvX17sY7ohcgMGOiKiKWUfqaj84Elq/OhJXUzpz1hXcOLjerXVa2+RoJpFrMNgREbmI1q8O9IERUpdRrupSJxGVjydPEBERESkEgx0RERGRQjDYyUxOTg5OnDiB3NxcqUshIiKSrdzcXBw7dozfl8Uw2MlMcnIyBg8eDJPJJHUpREREsmUymdCvXz9+XxZTbYOdEALPPfccAgMDoVKpcOTIEalLIiIiIpJUtQ12O3bsQFJSErZt24aMjAy0bNmyzPkXLFiA2NhYeHl5ISAgwD1FEhEREblRtQ12qampqFu3LmJjYxEaGgoPj7Kv3JKfn48nn3wSkyZNclOFRERERO5VLYPdmDFjMGXKFJw/fx4qlQpRUVGwWCxYsmQJjEYj9Ho96tevjwULFtieM2/ePEydOhUxMTESVk5ERETkOtXyAsUrV65EdHQ0PvzwQxw6dAgajQYJCQn46KOPsGLFCnTt2hUZGRk4depUpdrJy8tDXl6e7XFWVlZlSy+X9ewek8lU7igkEcnT+XNnAACi0CxxJfJj7ZPz587g2DE/iauh6iwlJQUAcPfuXYkrkZdqmRz8/f3h6+sLjUaD0NBQZGdnY+XKlVi9ejVGjx4NAIiOjkbXrl0r1c6iRYswb968qijZaWlpaQCA+Ph4t7ZLRFWv4M5NILih1GXISsGdmwCAhXNex0KJayFluHDhAjp27Ch1GbJRLYNdcSdPnkReXh569+5dpctNSEjAtGnTbI+zsrIQEeHa2+5ERkYCABITE9G0aVOXtkVErvHDwSNYOOd1eHgHSl2K7Fj75I15b+OhB9tIWwxVaykpKZgyZYrLv5erG0UEO4PB4JLl6vV66PV6lyy7NNZ1MRqNPB6QqJo6d6XosA2VRitxJfJj7ZP6UQ25jaMq4enpKXUJslItT54orlGjRjAYDNi9e7fUpRARERFJRhEjdp6enpgxYwZee+016HQ6dOnSBdeuXcOJEycwbtw4AMD58+dx8+ZNnD9/HoWFhbYLGhuNRvj4+EhYPREREVHVUESwA4BZs2bBw8MDs2fPRnp6OurWrYuJEyfafj979mysW7fO9rht27YAgL1796JHjx7uLpeIiIioylXbXbHx8fE4d+6c7bFarcbMmTNx7tw55OfnIy0tDQkJCbbfJyUlQQhR4oehjoiIiJSi2gY7pWrSpAm2bt0Ko9EodSlERESyZTQasWPHDn5fFqOYXbFK4eXlhRYtWkCn00ldChERkWwZDAaeWe0AR+yIiIiIFILBjoiIiEghuCuWiKiK5f3fvSvz/7wocSVlM2ddsfvXnW0SkWsw2BERVbFrGRcAADcP/1PiSpxz4+B6t7dZJ6iW29skqgkY7IiIqtiwIYMAAMF1I6Dn7Y5KqBNUCw+0aS51GUSKxGBHRFTFAgMDMWnCGKnLIKIaiCdPEBERESkEgx0RERGRQjDYERERESkEj7GTqYKCAqlLICIiIifI6TubwU5m1Go1tFotzGYz8vPzpS6HiIiInKDVaqFWS78jlMFOZjQaDcLDw2GxWKQuhYiIiJykVquh0WikLoPBTo40Go0s3hxERERUvUg/ZkhEREREVYLBjoiIiEghGOyIiIiIFILBjoiIiEghGOyIiIiIFILBjoiIiEgheLkTGSosLOR17IiIiKoRXseOHCosLMTFixdhNpulLoWIiIicpNVqER4eLnm4Y7CTGYvFArPZDLVaDQ8PvjxERERyV1BQALPZDIvFwmBHjnl4eDDYERERVRNyub87T54gIiIiUggGOyIiIiKFYLAjIiIiUggexEVEJLHDv5/E1RuZUpfhMiG1/dGhVTOpyyCqERjsiIgkdPj3k3isfx+py3C5L7/5juGOyA0Y7IiIJGQdqavf9wXoa4W5vf27N9NxYdd7iHj4BXgGVn37eX+m4/y37yl6RJJIThjsiIhkQF8rDF4hDSRr3zNQ2vaJqGrw5AkiIiIihWCwIyIiIlIIBjuZycnJwYkTJ5Cbmyt1KURERLKVm5uLY8eO8fuymGoZ7Hr06IH4+Hipy3CJ5ORkDB48GCaTSepSiIiIZMtkMqFfv378viymWga7+7FgwQLExsbCy8sLAQEBUpdDREREVOVkF+xcdRPd/Px8PPnkk5g0aZJLlk9EREQkNcmDXY8ePfDiiy8iPj4eQUFBiIuLw/Hjx9G/f3/4+PigTp06GDlyJK5fv17qMlQqFbZu3Wo3LSAgAElJSbbH8+bNw9SpUxETE+OiNSEiIiKSluTBDgDWrVsHnU6H/fv34+2330avXr3Qtm1bHD58GDt27MCVK1fw1FNPSV0mERERkazJ4gLFjRo1wpIlSwAA8+fPR9u2bbFw4ULb7z/++GNERETg9OnTaNy4sdvqysvLQ15enu1xVlaWy9u0nt1jMpng4SGLl4eIXCjt7BkAgKXANYehSM26Xmlnz+BYkLfE1ZCSpKSkAADu3r0rcSXyIovk0L59e9v/jx49ir1798LHx6fEfKmpqW4NdosWLcK8efPc1h4ApKWlAYBiz/olIsfys64DYU2kLqPK5WcVHUYzf9arEldCSnXhwgV07NhR6jJkQxbBztv7//+Ku337NgYOHIjFixeXmK9u3boOn69SqSCEsJtmNpsrXVdCQgKmTZtme5yVlYWIiIhKL7cskZGRAIDExEQ0bdrUpW0RkfT+c+gY5s96FTq/IKlLcQnrev31rXfQtSOPcaaqk5KSgilTprj8e7m6kUWwu1e7du2wefNmREVFOb0rMjg4GBkZGbbHKSkpyMnJqXQter0eer2+0supCIPBAAAwGo080YOoBrhw/Q4AQO2hk7gS17CuV2SDhtymkUt4enpKXYKsyOLkiXtNnjwZN2/exPDhw3Ho0CGkpqZi586dGDt2LAoLCx0+p1evXli9ejV+++03HD58GBMnToRWq7Wb5/z58zhy5AjOnz+PwsJCHDlyBEeOHMHt27fdsVpERERELie7YBcWFob9+/ejsLAQffv2RUxMDOLj4xEQEAC12nG5y5YtQ0REBLp164a//OUvmD59Ory8vOzmmT17Ntq2bYs5c+bg9u3baNu2re3MWyIiIiIlkHxX7L59+0pMa9SoEbZs2eL0c8LCwrBz5067abdu3bJ7nJSUZHddOyIiIiKlkd2IXU3XpEkTbN26FUajUepSiIiIZMtoNGLHjh38vixG8hE7sufl5YUWLVpAp1PmgdRERERVwWAw8IQcBzhiR0RERKQQDHZERERECsFdsUREErLeDin32jlp2r+ZbvdvVcv70zXLJSLHGOyIiCR0Lb3oNoIX9/xN0jou7HrPpcsPqe3v0uUTUREGOyIiCT35+EAAQHBYpGKvoB9S2x8dWjWTugyiGoHBjohIQoGBgXh+3GipyyAiheDJE0REREQKwWBHREREpBAMdkREREQKwWBHREREpBA8eUKmCgoKpC6BiIiInCCn72wGO5lRq9XQarUwm83Iz8+XuhwiIiJyglarhVot/Y5QBjuZ0Wg0CA8Ph8VikboUIiIicpJarYZGo5G6DAY7OdJoNLJ4cxAREVH1Iv2YIRERERFVCQY7IiIiIoVgsCMiIiJSCAY7IiIiIoVgsCMiIiJSCJ4VK0OFhYW83AkREVE1wsudkEOFhYW4ePEizGaz1KUQERGRk7RaLcLDwyUPdwx2MmOxWGA2m6FWq+HhwZeHiIhI7goKCmA2m2GxWBjsyDEPDw8GOyIiompCLrcB5ckTRERERArBYEdERESkEAx2RERERArBg7iIiMrx6/FkXPszS+oyapTgWn5o17KJ1GUQVTsMdkREZfj1eDIGxvWSuowa6d879zDcEVUQgx0RURmsI3Utn3wF3sHhEldTcXeuXcDxjcvR8slp8A6OkLocp9y5dhHHNy7jKCnRfWCwIyJygndwOPzqGaUu4755B0dU6/qJyDk8eYKIiIhIIRjsiIiIiBSCwU5mcnJycOLECeTm5kpdChEREZUjNzcXx44dk833NoOdzCQnJ2Pw4MEwmUxSl0JERETlMJlMePTRR3HmzBmpSwFQjYOdEALPPfccAgMDoVKpcOTIEalLIiIiIpJUtQ12O3bsQFJSErZt24aMjAy0bNmy1HnPnTuHcePGoUGDBjAYDIiOjsacOXNkc8NeIiIioqpQbS93kpqairp16yI2NrbceU+dOgWLxYIPPvgARqMRx48fx4QJE3Dnzh0sXbrUDdUSERERuV61HLEbM2YMpkyZgvPnz0OlUiEqKgoWiwVLliyB0WiEXq9H/fr1sWDBAgBAv379sHbtWvTt2xcNGzbEoEGDMH36dGzZskXiNSEiIiKqOtVyxG7lypWIjo7Ghx9+iEOHDkGj0SAhIQEfffQRVqxYga5duyIjIwOnTp0qdRmZmZkIDAwss528vDzk5eXZHmdluf4q6NazakwmEzw8quXLQ6QoaWdTAQCFZh664S7Wvk47m4pjAZ4SV0NUtpSUFADA3bt3Ja6kSLVMDv7+/vD19YVGo0FoaCiys7OxcuVKrF69GqNHjwYAREdHo2vXrg6fbzKZsGrVqnJ3wy5atAjz5s2r8vrLkpaWBgCIj493a7tEVLa7t64AaC51GTVCUV8D8xJekbgSIuddunRJ6hIAVNNgV9zJkyeRl5eH3r17lzvvpUuX0K9fPzz55JOYMGFCmfMmJCRg2rRptsdZWVmIiHDtvRYjIyMBAImJiWjatKlL2yKi8v33txOYl/AKPAPqSF1KjWHt6zmLlqFz2xYSV0NUtpSUFEyZMgX16tWTuhQACgl2BoPBqfnS09PRs2dPxMbG4sMPPyx3fr1eD71eX9nyKsS6LkajETExMW5tm4hKSr9VtHtFo9VJXEnNYe3ryAbR3A5SteHpKY/DBqrlyRPFNWrUCAaDAbt37y51nkuXLqFHjx5o37491q5dC7VaEatOREREZKOIETtPT0/MmDEDr732GnQ6Hbp06YJr167hxIkTGDdunC3URUZGYunSpbh27ZrtuaGhoRJWTkRERFR1FBHsAGDWrFnw8PDA7NmzkZ6ejrp162LixIkAgF27dsFkMsFkMiE8PNzueUIIKcolIiIiqnLVdn9kfHw8zp07Z3usVqsxc+ZMnDt3Dvn5+UhLS0NCQgKAouveCSEc/hAREREphWJG7JSiSZMm2Lp1K4xGo9SlEBERUTmMRiO2bduG+vXrS10KAAY72fHy8kKLFi2g0/EMPCIiIrkzGAyIiYmRzf3nq+2uWCIiIiKyx2BHREREpBDcFUtEVIa7d4vu35yVnipxJffnzrULdv9WB3euXZS6BKJqi8GOiKgMVy6eAwCc3Lpa2kIq6fjG5VKXUGHBtfykLoGo2mGwIyIqw9DHHgUA1AmPgqenc7cvpMoLruWHdi2bSF0GUbXDYEdEVIbAwEA8N3aU1GUQETmFJ08QERERKQSDHREREZFCMNgRERERKQSPsZOpgoICqUsgIiIiJ8jpO5vBTmbUajW0Wi3MZrNsbk9CREREZdNqtVCrpd8RymAnMxqNBuHh4bBYLFKXQkRERE5Sq9XQaDRSl8FgJ0cajUYWbw4iIiKqXqQfMyQiIiKiKsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQvNyJDBUWFvI6dkRERNUIr2NHDhUWFuLixYswm81Sl0JERERO0mq1CA8PlzzcMdjJjMVigdlshlqthocHXx4iIiK5KygogNlshsViYbAjxzw8PBjsiIiIqgm53N+dJ08QERERKQSDHREREZFCMNgRERERKQQP4iIikqmjJ0/jxq0sqcuQndoBfmjdrLHUZRDJEoMdEZEMHT15Go/06Sl1GbK1/bu9DHdEDjDYERHJkHWkrtu4N+AfGilxNWXLzDiPHz9egG7PzoR/3fqubetyGn78+0KOZBKVgsGOiEjG/EMjUTuyeoxM+detX21qJVIqnjxBREREpBAMdkREREQKwWAnMzk5OThx4gRyc3OlLoWIiIjKkZubi2PHjsnme7taBrsePXogPj5e6jJcIjk5GYMHD4bJZJK6FCIiIiqHyWTCo48+ijNnzkhdCoBqGuwq6ty5cxg3bhwaNGgAg8GA6OhozJkzRzb3dSMiIiKqCrI7KzY/Px86na5Kl3nq1ClYLBZ88MEHMBqNOH78OCZMmIA7d+5g6dKlVdoWERERkVQkH7Hr0aMHXnzxRcTHxyMoKAhxcXE4fvw4+vfvDx8fH9SpUwcjR47E9evXS12GSqXC1q1b7aYFBAQgKSkJANCvXz+sXbsWffv2RcOGDTFo0CBMnz4dW7ZsceGaEREREbmXLEbs1q1bh0mTJmH//v24desWevXqhfHjx2PFihXIzc3FjBkz8NRTT2HPnj1V1mZmZiYCAwPLnCcvLw95eXm2x1lZrr8gpvXgS5PJBA8PWbw8RCSBc2dSAQCFZh4yci9rf5w7k4pjPnqJqyECUlJSAAB3796VuJIiskgOjRo1wpIlSwAA8+fPR9u2bbFw4ULb7z/++GNERETg9OnTaNy48he/NJlMWLVqVbm7YRctWoR58+ZVur2KSEtLAwDFnhxCRBVz+/plhBhbSl2GbNy+fhkAMOu1aRJXQmTv0qVLUpcAQCbBrn379rb/Hz16FHv37oWPj0+J+VJTUysd7C5duoR+/frhySefxIQJE8qcNyEhAdOm/f/GIysrCxEREZVqvzyRkUW3DkpMTETTpk1d2hYRydeh3//ArNemwScoVOpSZMXaH28tWY6OrZpLXA1R0YjdlClTUK9ePalLASCTYOft7W37/+3btzFw4EAsXry4xHx169Z1+HyVSgUhhN00s9lcYr709HT07NkTsbGx+PDDD8utS6/XQ69371C/wWAAABiNRsTExLi1bSKSj2u3iw4D0Wir9mSy6s7aH1ENo7mNJFnx9PSUugQAMgl292rXrh02b96MqKgop48xCw4ORkZGhu1xSkoKcnJy7Oa5dOkSevbsifbt22Pt2rVQqyU/b4SIiIioSsku3UyePBk3b97E8OHDcejQIaSmpmLnzp0YO3YsCgsLHT6nV69eWL16NX777TccPnwYEydOhFartf3+0qVL6NGjB+rXr4+lS5fi2rVruHz5Mi5fvuyu1SIiIiJyOdmN2IWFhWH//v2YMWMG+vbti7y8PERGRqJfv36ljrItW7YMY8eORbdu3RAWFoaVK1fil19+sf1+165dMJlMMJlMCA8Pt3tu8V24RERERNWV5MFu3759JaY1atSozGvMFX9OWFgYdu7caTft1q1btv+PGTMGY8aMqUSVRERERPInebAje02aNMHWrVthNBqlLoWIiIjKYTQasW3bNtSvX1/qUgAw2MmOl5cXWrRoUeW3VSMiIqKqZzAYEBMTI5v7z8vu5AkiIiIiuj8MdkREREQKwV2xREQydDe36L6TN86nSFxJ+TIzztv969K2Lqe5vA2i6ozBjohIhtLPnwUA/Hd92fe0lpMfP17gtrZqB/i5rS2i6oTBjohIhp4YNAAAEFa/ATwN8rhVkVzUDvBD62aVu284kVIx2BERyVBgYCDGjxkpdRlEVM3w5AkiIiIihWCwIyIiIlIIBjsiIiIiheAxdjJVUFAgdQlERETkBDl9ZzPYyYxarYZWq4XZbJbN7UmIiIiobFqtFmq19DtCGexkRqPRIDw8HBaLRepSiIiIyElqtRoajUbqMhjs5Eij0cjizUFERETVi/RjhkRERERUJRjsiIiIiBSCwY6IiIhIIRjsiIiIiBSCwY6IiIhIIRjsiIiIiBSClzuRocLCQl7HjoiIqBrhdezIocLCQly8eBFms1nqUoiIiMhJWq0W4eHhkoc7BjuZsVgsMJvNUKvV8PDgy0NERCR3BQUFMJvNsFgsDHbkmIeHB4MdERFRNSGX+7vz5AkiIiIihWCwIyIiIlIIBjsiIiIiheBBXEREMnE8OQV/ZmZLXUa1VsvfFy2bNJK6DCLJMNgREcnA8eQUxPXqIXUZirBzzz6GO6qxGOyIiGTAOlI3JH4ugsKjpC2mkq5dPIctiXPxRPxcBLtxXa5fPIfNiXM56kk1GoMdEZGMBIVHISy6qdRlVIlgBa0LUXXBkyeIiIiIFILBjoiIiEghGOxkJicnBydOnEBubq7UpRAREVExubm5OHbsmGy/p6ttsBNC4LnnnkNgYCBUKhWOHDkidUlVIjk5GYMHD4bJZJK6FCIiIirGZDKhX79+sv2errbBbseOHUhKSsK2bduQkZGBli1bljn/oEGDUL9+fXh6eqJu3boYOXIk0tPT3VQtERERketV22CXmpqKunXrIjY2FqGhofDwKPsE3549e2LDhg1ITk7G5s2bkZqaiqFDh7qpWiIiIiLXq5bBbsyYMZgyZQrOnz8PlUqFqKgoWCwWLFmyBEajEXq9HvXr18eCBQtsz5k6dSo6deqEyMhIxMbG4vXXX8dPP/0Es9ks4ZoQERERVZ1qeR27lStXIjo6Gh9++CEOHToEjUaDhIQEfPTRR1ixYgW6du2KjIwMnDp1yuHzb968ic8++wyxsbHQarVurp6IiIjINaplsPP394evry80Gg1CQ0ORnZ2NlStXYvXq1Rg9ejQAIDo6Gl27drV73owZM7B69Wrk5OSgU6dO2LZtW5nt5OXlIS8vz/Y4Kyur6lemGOtZNiaTqdzdy0SkHGdTiw7ENufnlTMnlcbad2dTTQgw8I92co2UlBQAwN27dyWuxDFFJIeTJ08iLy8PvXv3LnO+V199FePGjUNaWhrmzZuHUaNGYdu2bVCpVA7nX7RoEebNm+eKkkuVlpYGAIiPj3dru0QkD7euZiCyWWupy6iWbl3NAAAkTJ8qcSVUE1y4cAEdO3aUuowSFBHsDAaDU/MFBQUhKCgIjRs3RrNmzRAREYGffvoJnTt3djh/QkICpk2bZnuclZWFiIiIKqm5NJGRkQCAxMRENG3KW/EQ1RS/HT+JhOlTERBSV+pSqi1r3y1augJtWzaTuBpSqpSUFEyZMsXleeB+KSLYNWrUCAaDAbt378b48eOdeo7FYgEAu12txen1euj1+iqp0VnWkGo0GhETE+PWtolIOrdyi07k0urcu81REmvfNYjm9pNcz9PTU+oSHFJEsPP09MSMGTPw2muvQafToUuXLrh27RpOnDiBcePG4eDBgzh06BC6du2KWrVqITU1FbNmzUJ0dHSpo3VERERE1Y0igh0AzJo1Cx4eHpg9ezbS09NRt25dTJw4EQDg5eWFLVu2YM6cObhz5w7q1q2Lfv364a9//avbR+SIiIiIXKXaBrv4+Hi7EwzUajVmzpyJmTNnlpg3JiYGe/bscWN1RERERO5XLS9QTEREREQlMdjJTJMmTbB161YYjUapSyEiIqJijEYjduzYIdvv6Wq7K1apvLy80KJFC+h0OqlLISIiomIMBoOsz7rmiB0RERGRQjDYERERESkEd8USEcmA9T7RGWeSJa6k8q5dPGf3r7tcd3N7RHLEYEdEJAOX0s4AAL56b5HElVSdLYlzJWm3lr+vJO0SyQGDHRGRDDz26AAAQL3Ihk7f/5pKquXvi5ZNGkldBpFkGOyIiGQgMDAQY0eNlLoMIqrmePIEERERkUIw2BEREREpBIMdERERkULwGDuZKigokLoEIiIicoKcvrMZ7GRGrVZDq9XCbDYjPz9f6nKIiIjICVqtFmq19DtCGexkRqPRIDw8HBaLRepSiIiIyElqtRoajUbqMhjs5Eij0cjizUFERETVi/RjhkRERERUJRjsiIiIiBSCwY6IiIhIIRjsiIiIiBSCwY6IiIhIIXhWrAwVFhbycidERETVCC93Qg4VFhbi4sWLMJvNUpdCRERETtJqtQgPD5c83DHYyYzFYoHZbIZarYaHB18eIiIiuSsoKIDZbIbFYmGwI8c8PDwY7IiIiKoJudwGlCdPEBERESkEgx0RERGRQjDYERERESkEgx0RERGRQvDofCKiGuTk6VRkZmVJXYbL+Pv5oVnjaKnLIJIMgx0RUQ1x8nQq+vR8SOoyXO67vT8w3FGNxWBHRFRDWEfqJs5ciLDIhpLUkJ52Fu8vSMDEmYsQFtmgipd9Bu8veEPRI5JE5WGwIyKqYcIiGyKqcTOJa2ggeQ1ESsSTJ4iIiIgUgsGOiIiISCEY7GQmJycHJ06cQG5urtSlEBERSSo3NxfHjh3jd2IFVMtg16NHD8THx0tdhkskJydj8ODBMJlMUpdCREQkKZPJhH79+vE7sQKqZbC7H4MGDUL9+vXh6emJunXrYuTIkUhPT5e6LCIiIqIqI7tgl5+f75Ll9uzZExs2bEBycjI2b96M1NRUDB061CVtEREREUlB8mDXo0cPvPjii4iPj0dQUBDi4uJw/Phx9O/fHz4+PqhTpw5GjhyJ69evl7oMlUqFrVu32k0LCAhAUlKS7fHUqVPRqVMnREZGIjY2Fq+//jp++uknmM1mF60ZERERkXvJ4jp269atw6RJk7B//37cunULvXr1wvjx47FixQrk5uZixowZeOqpp7Bnz54qae/mzZv47LPPEBsbC61WW+p8eXl5yMvLsz3OcsNFL60HiJpMJnh4yOLlISKFOJNadJxS/j3bNSWxrteZVBO89dx+KkFKSgoA4O7duxJXUn3I4p3fqFEjLFmyBAAwf/58tG3bFgsXLrT9/uOPP0ZERAROnz6Nxo0b33c7M2bMwOrVq5GTk4NOnTph27ZtZc6/aNEizJs3777bux9paWkAoNiTQ4hIetcvp6NxTBupy6hy1y8XHTf96rR4aQuhKnfhwgV07NhR6jKqBVkEu/bt29v+f/ToUezduxc+Pj4l5ktNTa1UsHv11Vcxbtw4pKWlYd68eRg1ahS2bdsGlUrlcP6EhARMmzbN9jgrKwsRERH33b4zIiMjAQCJiYlo2rSpS9sioprl2B+n8Oq0eASFhkldiktY1+ud5YmIac7tpxKkpKRgypQpLv/uVRJZBDtvb2/b/2/fvo2BAwdi8eLFJearW7euw+erVCoIIeymOTp2LigoCEFBQWjcuDGaNWuGiIgI/PTTT+jcubPD5er1euj1+oqsSqUZDAYAgNFoRExMjFvbJiJlu5NXAADQuXm75i7W9WoYze2n0nh6ekpdQrUhi2B3r3bt2mHz5s2Iiopy+hiz4OBgZGRk2B6npKQgJyenzOdYLBYAsDuGjoiIiKg6k/ys2OImT56MmzdvYvjw4Th06BBSU1Oxc+dOjB07FoWFhQ6f06tXL6xevRq//fYbDh8+jIkTJ9qdFHHw4EGsXr0aR44cQVpaGvbs2YPhw4cjOjq61NE6IiIioupGdsEuLCwM+/fvR2FhIfr27YuYmBjEx8cjICAAarXjcpctW4aIiAh069YNf/nLXzB9+nR4eXnZfu/l5YUtW7agd+/eaNKkCcaNG4dWrVrh+++/d/uuViIiIiJXkXxX7L59+0pMa9SoEbZs2eL0c8LCwrBz5067abdu3bL9PyYmpsoulUJEREQkV7IbsavpmjRpgq1bt8JoNEpdChERkaSMRiN27NjB78QKkHzEjux5eXmhRYsW0Ol0UpdCREQkKYPBwDOcK4gjdkREREQKwWBHREREpBDcFUtEVENY77d57vRJyWpITztr92/VLvtMlS+TqLphsCMiqiHSzhYFn4+Xuvce2I68vyDBZcv29/Nz2bKJ5I7Bjoiohhg4oD8AILJBQ8Xeosnfzw/NGkdLXQaRZBjsiIhqiMDAQIweOULqMojIhXjyBBEREZFCMNgRERERKQSDHREREZFC8Bg7mSooKJC6BCIiInKCnL6zGexkRq1WQ6vVwmw2Iz8/X+pyiIiIyAlarRZqtfQ7QhnsZEaj0SA8PBwWi0XqUoiIiMhJarUaGo1G6jIY7ORIo9HI4s1BRERE1Yv0Y4ZEREREVCUY7IiIiIgUgsGOiIiISCEY7IiIiIgUgsGOiIiISCEY7IiIiIgUgpc7kaHCwkJex46IiKga4XXsyKHCwkJcvHgRZrNZ6lKIiIjISVqtFuHh4ZKHOwY7mbFYLDCbzVCr1fDw4MtDREQkdwUFBTCbzbBYLAx25JiHhweDHRERUTUhl/u78+QJIiIiIoVgsCMiIiJSCAY7IiIiIoXgQVxERORSp02pyMq+LXUZsuDn64PGxmipyyAFY7AjIiKXOW1KRc/uD0ldhqzs/f4HhjtyGQY7IiJyGetIXcJbS1G/gbzCzPmzqVg0a7rbarO2x9FLciUGOyIicrn6DaLRqGkLqctwSM61EVUUT54gIiIiUggGOyIiIiKFYLCTmZycHJw4cQK5ublSl0JERFTt5Obm4tixYzX2e5TBTmaSk5MxePBgmEwmqUshIiKqdkwmE/r161djv0dlG+zmzp2LNm3auGTZSUlJCAgIcMmyiYiIiKQi22BHRERERBXjsmCXl5eHl156CSEhIfD09ETXrl1x6NAhAI5HzLZu3QqVSmX7/bx583D06FGoVCqoVCokJSUBAFQqFdasWYP+/fvDYDCgYcOG2LRpk205+/btg0qlwq1bt2zTjhw5ApVKhXPnzmHfvn0YO3YsMjMzbcueO3euq7qBiIiIyG1cFuxee+01bN68GevWrcOvv/4Ko9GIuLg43Lx5s9znDhs2DK+88gpatGiBjIwMZGRkYNiwYbbfz5o1C0OGDMHRo0cxYsQIPP300zh58qRTdcXGxiIxMRF+fn62ZU+fPv2+15OIiIhILlxygeI7d+5gzZo1SEpKQv/+/QEAH330EXbt2oW///3vCA4OLvP5BoMBPj4+8PDwQGhoaInfP/nkkxg/fjwA4K233sKuXbuwatUqvPfee+XWptPp4O/vD5VK5XDZ98rLy0NeXp7tcVZWVrnLryzrWTwmkwkeHrx+NBFVb6n/dwB7/j3b0prK2gepJhP0HjwSylVSUlIAAHfv3pW4Emm4JDmkpqbCbDajS5cutmlarRYPPPAATp48WW6wK0/nzp1LPD5y5EillunIokWLMG/evCpfblnS0tIAAPHx8W5tl4jIlS6nX0SL1u2kLkNSl9MvAgCmxb8kcSU1w4ULF9CxY0epy3A7SYaE1Go1hBB208xmc5UtG4Dd8u932QkJCZg2bZrtcVZWFiIiIipXYDkiIyMBAImJiWjatKlL2yIicrU/Tp3GtPiXEBoWLnUpkrP2wfLEd9G8aWOJq1GulJQUTJkyxeXf13LlkmAXHR0NnU6H/fv324KK2WzGoUOHEB8fj+DgYGRnZ+POnTvw9vYGgBIjbjqdDoWFhQ6X/9NPP2HUqFF2j9u2bQsAttHAjIwM1KpVq8LLvpder4dery9/hauQwWAAABiNRsTExLi1bSKiqpZXYAEA6Ny8LZUjax9Ec/vuFp6enlKXIAmXBDtvb29MmjQJr776KgIDA1G/fn0sWbIEOTk5GDduHIQQ8PLywhtvvIGXXnoJBw8etJ31ahUVFYWzZ8/iyJEjCA8Ph6+vry1kbdy4ER06dEDXrl3x2Wef4eeff8bf//53AEWBKCIiAnPnzsWCBQtw+vRpLFu2rMSyb9++jd27d6N169bw8vKCl5eXK7qCiIiIyG1cdvTm22+/jSFDhmDkyJFo164dTCYTdu7ciVq1aiEwMBCffvoptm/fjpiYGHz++eclLjkyZMgQ9OvXDz179kRwcDA+//xz2+/mzZuHf/7zn2jVqhU++eQTfP7552jevDmAomP5Pv/8c5w6dQqtWrXC4sWLMX/+fLtlx8bGYuLEiRg2bBiCg4OxZMkSV3UDERERkdu47Bg7T09PvPvuu3j33Xcd/n7w4MEYPHiw3bQJEybY/q/X6+2uT3evsLAwfPvtt6W23aVLF/z+++9204of07dmzRqsWbOmrFUgIiIiqlZ4vjURERGRQjDYyUyTJk2wdetWGI1GqUshIiKqdoxGI3bs2FFjv0er3RVwi+9SVRovLy+0aNECOp1O6lKIiIiqHYPBUKPPOuaIHREREZFCMNgRERERKUS12xVLRETVx93/u/91yqkTEldS0vmzqXb/uqs9IldisCMiIpc5e6YozCyf/1eJKyndolnT3dqen6+PW9ujmoXBjoiIXGbAI/0BAA0aRsPz/26ZWJP5+fqgsTFa6jJIwRjsiIjIZQIDAzHymRFSl0FUY/DkCSIiIiKFYLAjIiIiUggGOyIiIiKF4DF2MlVQUCB1CUREROQEOX1nM9jJjFqthlarhdlsRn5+vtTlEBERkRO0Wi3Uaul3hDLYyYxGo0F4eDgsFovUpRAREZGT1Go1NBqN1GUw2MmRRqORxZuDiIiIqhfpxwyJiIiIqEow2BEREREpBIMdERERkUIw2BEREREpBIMdERERkULwrFgZKiws5OVOiIiIqhFe7oQcKiwsxMWLF2E2m6UuhYiIiJyk1WoRHh4uebhjsJMZi8UCs9kMtVoNDw++PERERHJXUFAAs9kMi8XCYEeOeXh4MNgRERFVE3K5DShPniAiIiJSCAY7IiIiIoVgsCMiIiJSCAY7IiIiIoXg0flELmJKTUV29h2pyyAF8vX1hjE6WuoyiEiGGOyIXMCUmoruDz0kdRmkYN//8APDHRGVwGBH5ALWkbq3liSiQUOjxNUow9kzJsx6Lb7G96m1HzgaTESOMNgRuVCDhkY0a9FS6jIUhX1KRFQ6njxBREREpBAMdjKTk5ODEydOIDc3V+pSiIhkKTc3F8eOHeN2ksgBBjuZSU5OxuDBg2EymaQuhYhIlkwmE/r168ftJJEDbg12p06dQqdOneDp6Yk2bdq4s2kiIiIixXNrsJszZw68vb2RnJyM3bt3IykpCQEBAe4sgYiIiEix3HpWbGpqKgYMGIDIyEh3NktERERUI1R4xG7Tpk2IiYmBwWBA7dq10adPH9y5cwcWiwVvvvkmwsPDodfr0aZNG+zYscP2PJVKhV9++QVvvvkmVCoVevTogbFjxyIzMxMqlQoqlQpz584FAERFRWH+/PkYNWoUfHx8EBkZia+++grXrl3DY489Bh8fH7Rq1QqHDx+2Lf/GjRsYPnw46tWrBy8vL8TExODzzz+3/f7atWsIDQ3FwoULbdMOHDgAnU6H3bt330/fEREREclKhUbsMjIyMHz4cCxZsgSPP/44srOz8eOPP0IIgZUrV2LZsmX44IMP0LZtW3z88ccYNGgQTpw4gUaNGiEjIwN9+vRBv379MH36dHh5eWHt2rWYPXs2kpOTAQA+Pj62tlasWIGFCxdi1qxZWLFiBUaOHInY2Fg8++yzeOeddzBjxgyMGjUKJ06cgEqlwt27d9G+fXvMmDEDfn5++PrrrzFy5EhER0fjgQceQHBwMD7++GMMHjwYffv2RZMmTTBy5Ei8+OKL6N27t8P1zcvLQ15enu1xVlbW/fRxhVjP8jKZTPDw4GUGqyvrQd15eXclroSUxvqeMplM8NCoJK5GGikpKQCAu3f5+SIqrsLBrqCgAE888YRtd2pMTAwAYOnSpZgxYwaefvppAMDixYuxd+9eJCYm4n/+538QGhoKDw8P+Pj4IDQ0FADg7+8PlUple3yvRx55BM8//zwAYPbs2VizZg06duyIJ598EgAwY8YMdO7cGVeuXEFoaCjq1auH6dOn254/ZcoU7Ny5Exs2bMADDzxgW+aECRMwYsQIdOjQAd7e3li0aFGp67to0SLMmzevIl1UaWlpaQCA+Ph4t7ZLrpF+6SLatOsgdRmkIOmXLgIA4l+eInEl0rtw4QI6duwodRlEslKhYNe6dWv07t0bMTExiIuLQ9++fTF06FBoNBqkp6ejS5cudvN36dIFR48eva/CWrVqZft/nTp1APx/iLx32tWrVxEaGorCwkIsXLgQGzZswKVLl5Cfn4+8vDx4eXnZLXfp0qVo2bIlNm7ciF9++QV6vb7UGhISEjBt2jTb46ysLERERNzX+jjLGpgTExPRtGlTl7ZFrnMqOQXxL09BWL1wqUshhbG+pxJXrkLTJo0krkYaKSkpmDJlisu3x0TVUYWCnUajwa5du3DgwAF8++23WLVqFWbOnIldu3ZVeWFardb2f5VKVeo0i8UCAHjnnXewcuVKJCYmIiYmBt7e3oiPj0d+fr7dclNTU5Geng6LxYJz587ZhcXi9Hp9mcHPFQwGAwDAaDSWWRvJW0GhAADo9Z4SV0JKY31PcRsBeHry80VUXIVPnlCpVOjSpQvmzZuH3377zXbyQVhYGPbv32837/79+9G8efNSl6XT6VBYWFjxqh3Yv38/HnvsMTzzzDNo3bo1GjZsiNOnT9vNk5+fj2eeeQbDhg3DW2+9hfHjx+Pq1atV0j4RERGR1Co0Ynfw4EHs3r0bffv2RUhICA4ePIhr166hWbNmePXVVzFnzhxER0ejTZs2WLt2LY4cOYLPPvus1OVFRUXh9u3b2L17N1q3bg0vL68Su06d1ahRI2zatAkHDhxArVq1sHz5cly5csUuWM6cOROZmZl499134ePjg+3bt+PZZ5/Ftm3b7qtNIiIiIjmpULDz8/PDDz/8gMTERGRlZSEyMhLLli1D//79ERcXh8zMTLzyyiu4evUqmjdvjq+++gqNGpV+DEhsbCwmTpyIYcOG4caNG5gzZ47tkicV9de//hVnzpxBXFwcvLy88Nxzz2Hw4MHIzMwEAOzbtw+JiYnYu3cv/Pz8AADr169H69atsWbNGkyaNOm+2iUiIiKSiwoFu2bNmtldm+5earUac+bMwZw5c0p9/pEjR0pMW7NmDdasWWM37dy5cyXmE0LYPY6KirKbFhgYiK1bt5bado8ePWA2m0sswxr8iIiIiKo7t95SjMrXpEkTbN26FUajUepSiIhkyWg0YseOHdxOEjnAK+DKjJeXF1q0aAGdTid1KUREsmQwGGr8GcFEpeGIHREREZFCMNgRERERKQR3xRK5gPWev6f+OC5xJcpx9ozJ7t+aqqavPxGVjcGOyAXO/N+X7/zZr0tcifLMei1e6hJkwdfXW+oSiEiGGOyIXOCR/v0BAA0bGm23iSOqKr6+3jBGR0tdBhHJEIMdkQsEBgbimREjpC6DiIhqGJ48QURERKQQDHZERERECsFgR0RERKQQPMZOpgoKCqQugYiIiJwgp+9sBjuZUavV0Gq1MJvNyM/Pl7ocIiIicoJWq4VaLf2OUAY7mdFoNAgPD4fFYpG6FCIiInKSWq2GRqORugwGOznSaDSyeHMQERFR9SL9mCERERERVQmO2FWAEAIAkJWVJXElREREVFNYc4c1h5SFwa4CsrOzAQARERESV0JEREQ1TXZ2Nvz9/cucRyWciX8EALBYLEhPT4evry9UKpXU5bhMVlYWIiIicOHCBfj5+UldjmTYD0XYD0XYD0XYD0XYD0XYD0Vc3Q9CCGRnZyMsLKzcM285YlcBarUa4eHhUpfhNn5+fjX6g2rFfijCfijCfijCfijCfijCfijiyn4ob6TOiidPEBERESkEgx0RERGRQjDYUQl6vR5z5syBXq+XuhRJsR+KsB+KsB+KsB+KsB+KsB+KyKkfePIEERERkUJwxI6IiIhIIRjsiIiIiBSCwY6IiIhIIRjsiIiIiBSCwY4qZOnSpWjRogVatmyJTz/9VOpyJJOcnIw2bdrYfgwGA7Zu3Sp1WZKIiopCq1at0KZNG/Ts2VPqciRx69YtdOjQAW3atEHLli3x0UcfSV2SZB5//HHUqlULQ4cOlboUt6mJ61wcPwP/T+ptIs+KJacdO3YMo0ePxoEDByCEQM+ePbFjxw4EBARIXZqkbt++jaioKKSlpcHb21vqctwuKioKx48fh4+Pj9SlSKawsBB5eXnw8vLCnTt30LJlSxw+fBi1a9eWujS327dvH7Kzs7Fu3Tps2rRJ6nLcoiauc3H8DPw/qbeJHLEjp508eRKdO3eGp6cnDAYDWrdujR07dkhdluS++uor9O7du0aGOiqi0Wjg5eUFAMjLy4MQAjX1b+YePXrA19dX6jLcqiauc3H8DMgHg10NsmjRInTs2BG+vr4ICQnB4MGDkZyc7PTzW7ZsiX379uHWrVv4888/sW/fPly6dMmFFbtOZfviXhs2bMCwYcOquEL3qIp+UKlU6N69Ozp27IjPPvvMRZW6VlX0w61bt9C6dWuEh4fj1VdfRVBQkIuqdZ2q/FwoCfulSHn9oITPgDPK6wept4kebm+RJPP9999j8uTJ6NixIwoKCvDGG2+gb9+++OOPP+Dt7Y02bdqgoKCgxPO+/fZbhIWFoXnz5njppZfQq1cv+Pv7o1OnTtBoNBKsSeVVti+ssrKycODAAfzzn/90Z/lVpir64T//+Q/q1auHjIwM9OnTBzExMWjVqpW7V6VSqqIfAgICcPToUVy5cgVPPPEEhg4dijp16rh7VSqlqj4XSlNev9QU5fWDEj4DziivHyTfJgqqsa5evSoAiO+///6+nj9u3Dixbdu2Kq5KGvfbF5988okYMWKEi6pyv8q+J6ZPny7Wrl1btUVJoLL9MGnSJLFx48Yqrsr97rcf9u7dK4YMGeKiqqTnqF+Uvs6OlPX+UMpnwBll9YMU20Tuiq3BMjMzAQCBgYFOP+fq1asAis4K/fnnnxEXF+eS2tztfvoCqN67YR2paD/cuXMH2dnZAIpOItmzZw9atGjhsvrcpaL9cOXKFVs/ZGZm4ocffkCTJk1cVp+73O/nQunYL0Xu7QelfgaccW8/yGGbyLNiayiLxYJBgwbh1q1b+M9//uP08zp37ozMzEx4e3vj/fffR/v27V1YpXvcb19kZmaicePGuHDhAnQ6nQsrdI/76YczZ87g8ccfB1B0VtyECRPw8ssvu7JMl7uffvj555/x3HPP2Q4Ynzx5Mp5//nkXV+pa9/u56NOnD44ePYo7d+4gMDAQGzduROfOnV1YqXs56helr7MjxftBiZ8BZxTvB1lsE906PkiyMXHiRBEZGSkuXLggdSmSY18UYT8UYT8UYT84xn4pwn4oIsd+YLCrgSZPnizCw8PFmTNnpC5FcuyLIuyHIuyHIuwHx9gvRdgPReTaDwx2NYjFYhGTJ08WYWFh4vTp01KXIyn2RRH2QxH2QxH2g2PslyLshyJy7wde7qQGmTx5Mv7xj3/gyy+/hK+vLy5fvgwA8Pf3h8FgkLg692JfFGE/FGE/FGE/OMZ+KcJ+KCL3fuDJEzWISqVyOH3t2rUYM2aMe4uRGPuiCPuhCPuhCPvBMfZLEfZDEbn3A4MdERERkULwOnZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERERECsFgR0RERKQQDHZERC5y7do1hIaGYuHChbZpBw4cgE6nw+7duyWsjIiUSiWEEFIXQUSkVNu3b8fgwYNx4MABNGnSBG3atMFjjz2G5cuXS10aESkQgx0RkYtNnjwZ3333HTp06IBjx47h0KFD0Ov1UpdFRArEYEdE5GK5ublo2bIlLly4gF9++QUxMTFSl0RECsVj7IiIXCw1NRXp6emwWCw4d+6c1OUQkYJxxI6IyIXy8/PxwAMPoE2bNmjSpAkSExNx7NgxhISESF0aESkQgx0RkQu9+uqr2LRpE44ePQofHx90794d/v7+2LZtm9SlEZECcVcsEZGL7Nu3D4mJiVi/fj38/PygVquxfv16/Pjjj1izZo3U5RGRAnHEjoiIiEghOGJHREREpBAMdkREREQKwWBHREREpBAMdkREREQKwWBHREREpBAMdkREREQKwWBHREREpBAMdkREREQKwWBHREREpBAMdkREREQKwWBHREREpBAMdkREREQK8b+vJgAqa77pXQAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "%matplotlib inline\n", "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, output_dir='model_1_vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")\n", "hls4ml.model.profiling.numerical(model=model, hls_model=hls_model, X=X_test[:1000])" ] @@ -138,9 +415,73 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Profiling weights (before optimization)\n", + "Profiling weights (final / after optimization)\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "config['LayerName']['fc1']['Precision']['weight'] = 'ap_fixed<8,2>'\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", @@ -160,14 +501,33 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n" + ] + } + ], "source": [ "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='Vitis', output_dir='model_1_vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")" ] }, @@ -184,9 +544,37 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing HLS project\n", + "Done\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Recompiling myproject with tracing\n", + "Writing HLS project\n", + "Done\n", + "32/32 [==============================] - 0s 978us/step\n", + "Done taking outputs for Keras model.\n" + ] + } + ], "source": [ "hls_model.compile()\n", "hls4ml_pred, hls4ml_trace = hls_model.trace(X_test[:1000])\n", @@ -204,9 +592,45 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Keras layer 'fc1', first sample:\n", + "[ 1.53240442e-01 3.83771062e-01 -1.35508668e+00 -9.80046272e-01\n", + " 4.17230844e-01 -9.43974137e-01 -1.11537896e-01 -1.61585939e+00\n", + " 3.04606557e-01 -2.28965536e-01 -1.96045661e+00 2.80708343e-01\n", + " 4.39803779e-01 2.93515325e-01 -1.17504366e-01 1.91309862e-03\n", + " -3.76116961e-01 -2.55258632e+00 -1.94957942e-01 1.06653959e-01\n", + " -6.15378737e-01 5.08246601e-01 4.67352122e-02 7.57919610e-01\n", + " -9.46448147e-01 3.64407480e-01 1.71742201e-01 6.47619247e-01\n", + " 3.07749152e-01 4.05062616e-01 5.65542042e-01 -1.07323098e+00\n", + " 3.19736099e+00 -2.55101979e-01 -7.53164768e-01 5.65172255e-01\n", + " -3.24212623e+00 4.28534865e-01 -7.59696960e-02 -2.96360925e-02\n", + " -5.41086495e-01 1.03803247e-01 4.68588948e-01 -3.40203643e-02\n", + " -8.03923488e-01 -1.36009586e+00 9.50798988e-01 2.55219996e-01\n", + " 7.49187469e-01 7.00555369e-02 7.25180089e-01 -1.35886741e+00\n", + " -5.99129021e-01 4.73353803e-01 3.01074207e-01 5.31956494e-01\n", + " -4.24107432e-01 1.23901427e-01 5.44594169e-01 6.14035785e-01\n", + " 5.69497943e-01 3.93959045e-01 -5.17612755e-01 -7.15565503e-01]\n", + "hls4ml layer 'fc1', first sample:\n", + "[ 0.10644531 0.36425781 -1.3984375 -1.04003906 0.40429688 -0.984375\n", + " -0.19628906 -1.65820312 0.23828125 -0.26855469 -2.01464844 0.27832031\n", + " 0.40136719 0.26074219 -0.13574219 0.03808594 -0.4453125 -2.61230469\n", + " -0.21386719 0.08203125 -0.67089844 0.48339844 0.015625 0.73144531\n", + " -0.99511719 0.3125 0.11621094 0.59863281 0.24316406 0.38867188\n", + " 0.53808594 -1.12304688 3.1796875 -0.24414062 -0.79589844 0.5\n", + " -3.26269531 0.43945312 -0.11132812 -0.04589844 -0.60644531 0.09082031\n", + " 0.44140625 -0.04199219 -0.83789062 -1.43652344 0.91699219 0.20605469\n", + " 0.68945312 0.0703125 0.75 -1.42382812 -0.64941406 0.421875\n", + " 0.26855469 0.48535156 -0.45117188 0.07324219 0.49804688 0.58007812\n", + " 0.55957031 0.33496094 -0.50976562 -0.77148438]\n" + ] + } + ], "source": [ "print(\"Keras layer 'fc1', first sample:\")\n", "print(keras_trace['fc1'][0])\n", @@ -224,9 +648,38 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Keras Accuracy: 0.7643975903614458\n", + "hls4ml Accuracy: 0.7572289156626506\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -282,18 +735,79 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", + "-----------------------------------\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Writing HLS project\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n", + "Keras Accuracy: 0.7643975903614458\n", + "hls4ml Accuracy: 0.7639518072289156\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAwwAAAMVCAYAAADapnMoAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdd1xV9f/A8ddlCZcpsnEAIjhz70L7hqKWK0tzb9PMkaZZ34YaWpmiOXIkjhLnV1Mz/eXEmRvMFBQVUhM1B3tduOf3x5WbV4aAICrv5+PBo3vO+Xw+53PukTjv81kqRVEUhBBCCCGEECIXRqVdASGEEEIIIcSzSwIGIYQQQgghRJ4kYBBCCCGEEELkSQIGIYQQQgghRJ4kYBBCCCGEEELkSQIGIYQQQgghRJ4kYBBCCCGEEELkSQIGIYQQQgghRJ4kYBBCCCGEEELkSQKGF8SQIUNQqVT6n4Jo3bq1Pr2JiUkJ17DkvWjXI4QQQgjxLJCAQZQIV1dXgwDGzs6utKskhBBCCCGKQAIGUeyGDx/OzZs3S7saQgghhBCiGEjAIIrV6dOnWbJkSWlXQwghhBBCFBMJGF5QKSkpdOjQgXLlyqFSqTA2NqZRo0YkJCQUKP/hw4epUaMGZmZm+m5FxsbG2NjY0LBhQ7Zt25Zrvvbt26MoCsbGxlhYWOR7Djs7O33Z3t7eLF++HHt7e1QqFUZGRtSpU0ffUvHBBx+gVquLdC1CCCGEEKLoJGB4QVWuXJkdO3aQkZEBgFar5dSpU9SvX/+xec+dO8crr7xCZGQkGo1Gv1+r1ZKYmMjp06dZvXp1jnxDhgzh9u3bAHz11VeYmZkVuL7Xr19n0KBB3L9/HwBFUfjzzz+pUaMGHTt2ZM6cOaSmphb6WoQQQgghxJORgOEFdffuXVxdXWnZsqXBjEFXrlzh9OnT+eYNDAxEURQAVCoVDRs2pE2bNtSpU4fy5cvnmufkyZMsW7YMgFq1ajFhwoRC1Tc9PR1jY2NatGiBvb29fn9cXBzbtm3D1NSUli1bolarC3UtQgghhBDiycjcky+o+vXr6x+m169fT48ePfTHfv75Zxo0aJBn3uw3+QDVq1fn5MmTBsdv376tb0nI1qFDBxRFwcTEhN27dxepzqGhobz88svcuXMHR0dHg2NHjx6lQYMG7Nixgw4dOhT4WoQQQgghxJORFoYX1DfffKP/3LZtW4Njt27dyjdv586d9Z8jIiJQq9V4eXnh7+9PYGAg5ubm1K5dW59m4MCB/PPPPwDMnDkTFxeXQtfXzs6Ol19+GQAHBweMjIwMjmUHBa+++mqhrkUIIYQQQjwZCRheUC1bttR/trGxMTim1WrzzTtw4EC6dOmi305NTSU6Opo9e/bw2WefYWdnx5w5cwBdl6EVK1YA8NJLLzFmzJgi1dfW1tZg++HF5x5ew8Hc3Nwg3eOuRQghhBBCPBkJGF5QD/f1f/htfUH9/PPP/PPPP8ycOZNu3brx0ksvYWpqCugGJH/44YcAxMfH6/P88ccfBou1PXwsPj4+3wXc8luZ2djYuND1F0IIIYQQxUPGMIgcTp8+jZmZGbVr12b8+PH6/WvWrKFXr14AZGVlERUVVaiZkIQQQgghxPNHAgaRw4oVK5g3bx62tra4ubnh4uJCVlYWx44dM0hXoUIFtFot7u7uuZZz48YNg9mW3NzcqFq1aonXXwghhBBCFB8JGESe4uPjiY+PJyIiIsexhg0b6qc/vX79eq757ezs9N2SbGxs8kwnhBBCCCGeXTKGQeTQt29f2rRpg5OTk8HYApVKRfny5RkyZEiOqVaFEEIIIcSLSaVk9xkRQgghhBBCiEdIC4MQQgghhBAiTxIwCCGEEEIIIfIkAYMQQgghhBAiTxIwCCGEEEIIIfIkAYMQQgghhBAiTxIwCCGEEEIIIfIkAYMQQgghhBAiTxIwCCGEEEIIIfIkAYMQQgghhBAiTxIwCCGEEEIIIfIkAYMQQgghhBAiT2UiYNi2bRu+vr5Uq1aNpUuXlnZ1hBBCCCGEeG6oFEVRSrsSJSkzM5OaNWuyb98+bG1tadiwIUeOHKFChQqlXTUhhBBCCCGeeS98C8Px48epVasW7u7uWFlZ0b59e3bu3Fna1RJCCCGEEOK58MwHDAcOHKBjx464ubmhUqnYvHlzjjQLFizAw8MDc3NzmjZtyvHjx/XHbty4gbu7u37b3d2dv//++2lUXQghhBBCiOfeMx8wJCcnU7duXRYsWJDr8XXr1jFu3Di++OILTp8+Td26dQkICOD27dtPuaZCCCGEEEK8eExKuwKP0759e9q3b5/n8aCgIIYOHcrAgQMBWLRoEb/++ivLli1j0qRJuLm5GbQo/P333zRp0iTP8tLT00lPT9dva7Va7t27R4UKFVCpVMVwRUIIIYQQQpQuRVFITEzEzc0NI6P82xCe+YAhPxkZGZw6dYqPP/5Yv8/IyAh/f39+//13AJo0acKff/7J33//ja2tLTt27OCzzz7Ls8yvvvqKKVOmlHjdhRBCCCGEKG3Xrl2jYsWK+aZ5rgOGO3fukJWVhbOzs8F+Z2dnIiMjATAxMWHWrFm8+uqraLVaJk6cmO8MSR9//DHjxo3Tb8fHx1O5cmWio6OxtrYumQvJg0ajYd++fbz66quYmpo+1XOL0iX3vuySe192yb0vu+Tel02t1r+CVgUjoqrT65Mfnvr5ExMT8fT0LNDz7XMdMBRUp06d6NSpU4HSlitXjnLlyuXYb29vj42NTXFXLV8ajQa1Wk2FChXkfyBljNz7skvufdkl977skntf9mi0GlRqY4wBazOLUpnuP/vfWkG63D/XAYODgwPGxsbcunXLYP+tW7dwcXEppVoJIYQQQgiRt8SMRBpcssIh0Qzr9Gd/jOwzP0tSfszMzGjYsCF79uzR79NqtezZs4fmzZuXYs2EEEIIIYTInaIotIrpjlfaF5CU//iBZ8Ez38KQlJTEpUuX9NvR0dGEh4djb29P5cqVGTduHP3796dRo0Y0adKEOXPmkJycrJ81SQghhBBCiGeJ2lSNlRZSATVKaVfnsZ75gOHkyZO8+uqr+u3sAcn9+/dnxYoV9OjRg3/++YfPP/+cmzdvUq9ePf7v//4vx0DokpSVlYVGoyn2cjUaDSYmJqSlpZGVlVXs5YtnV1m998bGxpiYmMgUxkIIIV5od1PvArq/dSqe/b95z3zA0Lp1axQl/8jr/fff5/33339KNTKUlJTE9evXH1vHolAUBRcXF65duyYPUGVMWb73arUaV1dXzMzMSrsqQgghRImITYr9t13hOfg7/8wHDM+yrKwsrl+/jlqtxtHRsdgf7LRaLUlJSVhZWT12QQ3xYimL915RFDIyMvjnn3+Ijo6mWrVqZebahRBClC27ru7CwcQSgAQsSrk2jycBwxPQaDQoioKjoyMWFsV/s7VaLRkZGZibm8uDUxlTVu+9hYUFpqam/PXXX/rrF0IIIV40t1Nu46B4AZCiWJZybR6v7DyJFNKCBQuoWbMmjRs3fmzastZlRIiSVJYCJCGEEGXTreR/lwRQVM/+371nv4alZOTIkZw/f54TJ06UdlWEEEIIIcQLJDkzmT/d/uQ250m2VJd2dR5LAgYhhBBCCCGeooT0BPbV+J3XPL/E0vZ+aVfnsSRgEEIIIYQQ4ilKzEgEwDEri1SVbSnX5vEkYBAFMnnyZOrVq1fa1ShR169fx8zMjNq1a+c4FhMTg0qlIjw8PMex1q1bM3bsWIN9YWFhvP322zg7O2Nubk61atUYOnQoFy9eLKHaw61btxgwYABubm6o1WratWtHVFSUQZp3332XqlWrYmFhgaOjI507dyYyMvKJyx03bhz29vZUqlSJkJAQg2MbNmygY8eOxXORQgghxHMuU5tJhjaDulfMMb3siJJa2jV6PAkYxAursIvprVixgu7du5OQkMCxY8eKfN5t27bRrFkz0tPTCQkJISIiglWrVmFra8tnn31W5HLzoygKXbp04cqVK2zZsoWwsDCqVKmCv78/ycnJ+nQNGzZk+fLlRERE8Ntvv6EoCm3bts1zcbiClPvLL7+wevVqdu7cyYwZMxgyZAh37twBID4+nv/+978sWLCgRK5bCCGEeN5kZGVQHmNeu9Kf30wXoE7UlnaVHksChmKkKAopGZnF+pOakVWgdIVZOC4xMZHevXtjaWmJq6srs2fPzvUtebYVK1YwZcoUzpw5g0qlQqVSsWLFCgCCgoKoU6cOlpaWVKpUiffee4+kpCSD/D/88AOVKlVCrVbTtWtXgoKCsLOzM0gTGBiIk5MT1tbWDBkyhEmTJuVo0Vi6dCk1atTA3Nyc6tWr8/333+uPZbcArFu3jlatWmFubp7jTXd+FEVh+fLl9O3bl169ehEcHFzgvA9LSUlh4MCBdOjQga1bt+Lv74+npydNmzZl5syZLF68uEjlPk5UVBRHjx5l4cKFNG7cGF9fXxYuXEhqaipr1qzRpxs2bBh+fn54eHjQoEEDAgMDuXbtGjExMUUuNyIigtatW9OoUSN69uyJjY0N0dHRAEycOJERI0ZQuXLlErluIYQQ4nmjNlVTKS0F9YNHN+vnYLJNWYehGKVqsqj5+W+lcu7zUwNQmxXsdo4bN47Dhw+zdetWnJ2d+fzzzzl9+nSeXY569OjBn3/+yf/93/+xe/duAGxtdf3tjIyMmDt3Lp6enly5coX33nuPiRMn6h/mDx8+zPDhw/nmm2/o1KkTu3fvzvGWPSQkhGnTpvH999/TsmVL1q5dy6xZs/D09DRI8/nnnzN//nzq169PWFgYQ4cOxdLSkv79++vTTZo0iVmzZlG/fv1CzeG/b98+UlJS8Pf3x93dnRYtWjB79mwsLQs3N/Jvv/3GnTt3mDhxYq7HHw2UHjZ8+HBWrVqVb/mPBmPZ0tPTAQyu2cjIiHLlynHo0CGGDBmSI09ycjLLly/H09OTSpUqFbncunXrsmTJEu7fv8+VK1dITU3F29ubQ4cOcfr0aYPATgghhCjrFEXBVqt9aKXn0qxNwUjAUMYkJiaycuVKVq9ezWuvvQbA8uXLcXNzyzOPhYUFVlZWmJiY4OLiYnDs4VYJDw8PAgMDGT58uP4hcd68ebRv354PP/wQAB8fH44cOcK2bdv0+ebNm8fgwYMZOHAgAJ9//jk7d+40eDj+4osvmDVrFm+++SYAnp6enD9/nsWLFxsEDGPHjtWnKYzg4GDeeecdjI2NqV27Nl5eXmzYsIEBAwYUqpzsvv3Vq1cvdB2mTp2q/54Ku9Jz9erVqVy5Mh9//DGLFy/G0tKS2bNnc/36dWJjYw3Sfv/990ycOJHk5GR8fX3ZtWsXZmZmRS43ICCAPn360LhxYywsLFi5ciWWlpaMGDGCFStWsHDhQubNm4eDgwNLliyhVq1ahf5uhBBCiBdFsiYZa60WfaTwHKznJQFDMbIwNeb81IBiK0+r1ZKYkIi1jfVjHxotTI0LVOaVK1fQaDQ0adJEv8/W1hZfX98i1XH37t189dVXREZGkpCQQGZmJmlpaaSkpKBWq7lw4QJdu3Y1yNOkSRODgOHChQu89957OdLs3bsX0L0Jv3z5MoMHD2bo0KH6NJmZmfqWjmyNGjUq9DXExcWxadMmDh06pN/Xp08fgoODCx0wFKZr2KOcnJxwcnICdPc+ISEBGxubAgUMpqambNq0icGDB2Nvb4+xsTH+/v60b98+R5169+5NmzZtiI2NZebMmXTv3p3Dhw/n2iJT0HInT57M5MmT9dtTpkzB398fU1NTAgMDOXv2LNu2baNfv36cOnWqiN+QEEII8fz7+dLPbLeyxMeoHAApT/Ds8LRIwFCMVCpVgbsFFYRWqyXTzBi1mckzufptTEwMb7zxBiNGjGDatGnY29tz6NAhBg8eTEZGBmp18SxEkt3S8MMPP9C0aVODY8bGhoFSYbsQAaxevZq0tDSDshVFQavVcvHiRXx8fLCxsQF0g3gfFRcXpw9cfHx8AIiMjKR58+aFqseTdEkC3YDm8PBw4uPjycjIwNHRkaZNm+YIomxtbbG1taVatWo0a9aM8uXL8/PPP9OzZ88nKjdbZGQkq1atIiwsjGXLluHn54ejoyPdu3dn0KBBJCYmYm1t/ZhvQwghhHgx3Ui6AUCWke5FXRbPfsDw7D2FihLl5eWFqampwQrW8fHxj53u08zMLMdMOqdOnUKr1TJr1iyaNWuGj48PN27cMEjj6+ubY7XsR7cfl8bZ2Rk3NzeuXLmCt7e3wc/D4xyKKjg4mPHjxxMeHq7/OXPmDK+88grLli0DwN7eHgcHhxxvxxMSErh06ZI+UGjbti0ODg7MmDEj13PFxcXlWY+pU6fqz3/69GkOHDjA6dOnDepVELa2tjg6OhIVFcXJkyfp3LlznmkVRUFRFP1YhSctV1EU3n33XYKCgrCysiIrK0s/W1X2f/OakUkIIYQoC7IDBkVlCoDK+Nn/uygtDHlYsGABCxYseOEebqytrenfvz8TJkzA3t4eJycnvvjiC4yMjFDl04fOw8OD6OhowsPDqVixItbW1nh7e6PRaJg3bx4dO3bk8OHDLFq0yCDfqFGj8PPzIygoiI4dO7J371527NhhcK5Ro0YxdOhQGjVqRIsWLVi3bh1//PEHXl5e+jRTpkxh9OjR2Nra0q5dO9LT0zl58iT3799n3LhxRf4+sh/OQ0JCcow76NmzJ1OnTiUwMBATExPGjRvH9OnTcXZ2plmzZty9e5cvv/wSR0dH/bgJS0tLli5dyttvv02nTp0YPXo03t7e3Llzh/Xr13P16lXWrl2ba12epEsS6NY7cHR0pHLlypw9e5YxY8bQpUsX2rZtC+i6o61bt462bdvi6OjI9evX+frrr7GwsKBDhw76cqpXr85XX32l70r2uHIftnTpUhwdHfXrLrRs2ZLJkydz9OhRduzYQc2aNfMd+C2EEEK86G4m3wTgmvkNzNKzyKrwHLS6KyJf8fHxCqDEx8fnOJaamqqcP39eSU1NLZFzZ2VlKffv31eysrKKtdyEhASlV69eilqtVlxcXJSgoCClSZMmyqRJk/LMk5aWpnTr1k2xs7NTAGX58uWKoihKUFCQ4urqqlhYWCgBAQHKjz/+qADK/fv39XmXLFmiuLu7KxYWFkqXLl2UwMBAxcXFxaD8qVOnKg4ODoqVlZUyaNAgZfTo0UqzZs0M0oSEhCj16tVTzMzMlPLlyyt+fn7Kpk2bFEVRlOjoaAVQwsLCctT94fo+6v3331dq1qyZ67HY2FjFyMhI2bJli6IoipKZmanMnTtXqVOnjqJWq5WKFSsqPXr0UKKjo3PkPXHihPLmm28qjo6OSrly5RRvb29l2LBhSlRUVK7nelRR7v13332nVKxYUTE1NVUqV66sfPrpp0p6err++N9//620b99ecXJyUkxNTZWKFSsqvXr1UiIjIw3KefT7ely52W7evKlUqVJF+fvvvw32T5kyRbG3t1eqV6+uHDt27LHXUdK/V8+6jIwMZfPmzUpGRkZpV0U8ZXLvyy6592WL3xo/pfaK2sr2GS7KqI8/VuZNX1cq9cjvGfdRKkV5DkZalKKEhARsbW2Jj4/X92PPlpaWRnR0NJ6enoWawrOgivKWuSiSk5Nxd3dn1qxZDB48uMTOk23o0KFERkZy8ODBPNO0adMGFxcXfvrppyc6V3R0ND4+Ppw/f55q1ao9UVlP09O698+ikv69etZpNBq2b99Ohw4dMDU1Le3qiKdI7n3ZJfe+bKn/U30ytZmsuHGLoMRxtLL3ZNiHPZ56PfJ7xn2UdEkqg8LCwoiMjKRJkybEx8czdepUgHz7uj+JmTNn0qZNGywtLdmxYwcrV640mJs/JSWFRYsWERAQgLGxMWvWrGH37t3s2rXric+9fft2hg0b9lwFC0IIIYR4MSmKQqY2E5VihOX18jgl30dtYvv4jKVMAoYyaubMmVy4cAEzMzMaNmzIwYMHcXBwKJFzHT9+nBkzZpCYmIiXlxdz5841WEhMpVKxfft2pk2bRlpaGr6+vmzcuBF/f/8nPvfIkSOfuAwhhBBCiOKQqc3E3MiM5nfM2ZM1m+omKVhrIkq7Wo8lAUMZVL9+/ac6F/769evzPW5hYaFfQVoIIYQQ4kVlamyKdUYKn/xjxEbARJOCo/rZ73r87NdQCCGEEEKIF0BGVgYOWVrSFCsATDNTUJk8++/vJWAQQgghhBDiKfgr4S/KZ2WRrtUFDCaZKaieg8lNnv2QRgghhBBCiBfAT+d/4ojaAieLKrjE67okpaosS7taj/XshzRCCCGEEEK8AK7EXwEgWeMGPOiSZPzsP44/+zUUQgghhBDiBXAv7R4A6gx7QNclSW1mXJpVKhAJGIQQQgghhHgKbqfcBiDLJI47mtv8Xt4Zq6qepVyrx5OAQRTI5MmTqVevXmlXo0Rdv34dMzMzateuneNYTEwMKpWK8PDwHMdat27N2LFjDfaFhYXx9ttv4+zsjLm5OdWqVWPo0KFcvHixhGoPt27dYsCAAbi5uaFWq2nXrh1RUVEGadLS0hg5ciQVKlTAysqKbt26cevWrXzLnTx5MtWrV8fS0pLy5cvj7+/PsWPH9MfT09Pp27cvNjY2+Pj45Jgi99tvv2XUqFHFd6FCCCHEcyo9Mw2APtaz2OGYwWZXD8o5O5dyrR5PAgbxwtJoNIVKv2LFCrp3705CQoLBA3Fhbdu2jWbNmpGenk5ISAgRERGsWrUKW1tbPvvssyKXmx9FUejSpQtXrlxhy5YthIWFUaVKFfz9/UlOTtan++CDD/jll1/YsGED+/fv58aNG7z55pv5lu3j48P8+fM5e/Yshw4dwsPDg7Zt2/LPP/8AsGTJEk6dOsXvv//OsGHD6NWrF4qiABAdHc0PP/zAtGnTSuS6hRBCiOeFJuvf55IKmQq3KY95VgYVbCxKsVYFIwFDHhYsWEDNmjVp3LhxaVel2CUnJ9OvXz+srKxwdXVl1qxZub4lz7ZixQqmTJnCmTNnUKlUqFQqVqxYAUBQUBB16tTB0tKSSpUq8d5775GUlGSQ/4cffqBSpUqo1Wq6du1KUFAQdnZ2BmkCAwNxcnLC2tqaIUOGMGnSpBwtGkuXLqVGjRqYm5tTvXp1vv/+e/2x7BaAdevW0apVK8zNzQkJCSnwd6IoCsuXL6dv37706tWL4ODgAud9WEpKCgMHDqRDhw5s3boVf39/PD09adq0KTNnzmTx4sVFKvdxoqKiOHr0KAsXLqRx48b4+vqycOFCUlNTWbNmDQDx8fEEBwcTFBTEf/7zHxo2bMjy5cs5cuQIR48ezbPsXr164e/vj5eXF7Vq1SIoKIiEhAT++OMPACIiIujUqRO1atVi5MiR/PPPP9y5cweAESNG8M0332BjY1Mi1y2EEEI8L/648weoVJgoCpq0ytS9fZlGty5g+hw8jT8HVSwdI0eO5Pz585w4caLgmRQFMpKL90eTUrB0D97oFsSECRPYv38/W7ZsYefOnYSGhnL69Ok80/fo0YPx48dTq1YtYmNjiY2NpUePHgAYGRkxd+5czp07x8qVK9m7dy8TJ07U5z18+DDDhw9nzJgxhIeH06ZNmxxvm0NCQpg2bRrffPMNp06donLlyixcuDBHms8//5xp06YRERHB9OnT+eyzz1i5cqVBukmTJjFmzBgiIiIICAgo8Heyb98+UlJS8Pf3p0+fPqxdu9bgzXxB/fbbb9y5c8fgO3jYo4HSw4YPH46VlRVWVlbY2NhQsWJFbGxs9PusrKzyzJueng6Aubm5fp+RkRHlypXj0KFDAJw6dQqNRoO/v78+TfXq1alcuTK///57ga4vIyODJUuWYGtrS926dQGoW7cuhw4dIjU1ld9++w1XV1ccHBwICQnB3Nycrl27FqhsIYQQ4kV26f4lXDIzaZ+Ywq/3puNvWpvh53eiPPgb/iyTdRiKkyYFprsVW3FGgF1BE39yA8weP49vUlISwcHBrFq1itdeew2AlStXUrFixTzzWFhYYGVlhYmJCS4uLgbHHm6V8PDwIDAwkOHDh+vf/s+bN4/27dvz4YcfArruLUeOHGHbtm36fPPmzWPw4MEMHDgQgM8//5ydO3catFR88cUXzJo1S999xtPTk/Pnz7N48WL69+9vUJ/HdbHJTXBwMO+88w7GxsbUrl0bLy8vNmzYwIABAwpVTvaYgerVqxe6DlOnTtV/T1qtlqSkJKysrDAqwIIu2Q/+H3/8MYsXL8bS0pLZs2dz/fp1YmNjAbh58yZmZmY5ghZnZ2du3ryZb/nbtm3jnXfeISUlBVdXV3bt2oWDgwMAgwYN4o8//qBmzZo4ODiwfv167t+/z+eff05oaCiffvopa9eupWrVqixbtgx3d/dCfzdCCCHEi8A/OYXRd7QswwxUUC49HqN8Xgg+K6SFoYy5fPkyGRkZNG3aVL/P3t4eX1/fIpW3e/duXnvtNdzd3bG2tqZv377cvXuXlJQUAC5cuECTJk0M8jy6/bg0ycnJXL58mcGDBxu8bQ8MDOTy5csG+Ro1alToa4iLi2PTpk306dNHv69Pnz5F6pakFKKl51FOTk54e3vrf7y8vAy2vb2988xramrKpk2buHjxIvb29qjVavbt20f79u0LFHA8zquvvkp4eDhHjhyhXbt2dO/endu3b+vPvWDBAqKjozlx4gQvv/wy48ePZ/To0YSFhbF582bOnDlDs2bNGD169BPXRQghhHgexaXH4ZuhITHLEQCz9DiMlMznImCQFobiZKrWvekvJlqtloTERGysrR//0GeqLrbzFlRMTAxvvPEGI0aMYNq0adjb23Po0CEGDx5MRkYGanXx1Cm7peGHH34wCHQAjI0N5y62tCz8aomrV68mLS3NoGxFUdBqtVy8eBEfHx99H/z4+Pgc+ePi4rC1tQV0LSgAkZGRNG/evFD1GD58OKtWrco3zaPjQx7WsGFDwsPDiY+PJyMjA0dHR5o2baoPolxcXMjIyCAuLs6gleHWrVs5Wo4eZWlpqQ9amjVrRrVq1QgODubjjz/OkXbfvn2cO3eOpUuXMmHCBDp06IClpSXdu3dn/vz5+Z5HCCGEeFGFXgvlo4wMErKcADB/sCaDqgjPLk+btDAUJ5VK1y2oOH9M1QVLp1IVqIpVq1bF1NTUYBag+/fvP3a6TzMzM7Kysgz2nTp1Cq1Wy6xZs2jWrBk+Pj7cuGEYMPn6+uYYB/Lo9uPSODs74+bmxpUrV3K8cff0fPK5i4ODgxk/fjzh4eH6nzNnzvDKK6+wbNkyQNcK4+DgwKlTpwzyJiQkcOnSJX2g0LZtWxwcHJgxY0au54qLi8uzHlOnTtWf//Tp0xw4cIDTp08b1KsgbG1tcXR0JCoqipMnT9K5c2dAF1CYmpqyZ88efdoLFy5w9erVQgc3Wq1WP27iYdnTti5evBhjY2OysrL0s1VpNJoc/4aEEEKIskBRFCLvRdLPzYVT2pqALmDQoiqWngAlTVoYyhgrKysGDx7MhAkTqFChAk5OTvz3v/997D9WDw8PoqOjCQ8Pp2LFilhbW+Pt7Y1Go2HevHl07NiRw4cPs2jRIoN8o0aNws/Pj6CgIDp27MjevXvZsWMHqocCnFGjRjF06FAaNWpEixYtWLduHX/88QdeXl76NFOmTGH06NHY2trSrl070tPTOXnyJPfv32fcuHFF/j6yH85DQkJyjDvo2bMnU6dOJTAwEBMTE8aNG8f06dNxdnamWbNm3L17ly+//BJHR0f9uAlLS0uWLl3K22+/TadOnRg9ejTe3t7cuXOH9evXc/XqVdauXZtrXZycnHBy0r110Gq1JCQkYGNjU+D/kWzYsAFHR0cqV67M2bNnGTNmDF26dKFt27aALpAYPHgw48aNw97eHhsbG0aNGkXz5s1p1qyZvpzq1avz1Vdf0bVrV5KTk5k2bRqdOnXC1dWVO3fusGDBAv7++2/efvvtHHX48ssv6dChA/Xr1wegZcuWTJgwgYEDBzJ//nxatmxZoGsRQgghXiSxybFkKpmgUpGUUg8A8/R7aFXPfrAAgCLyFR8frwBKfHx8jmOpqanK+fPnldTU1BI5d1ZWlnL//n0lKyurWMtNTExU+vTpo6jVasXZ2VmZMWOG0qpVK2XMmDF55klLS1O6deum2NnZKYCyfPlyRVEUJSgoSHF1dVUsLCyUgIAA5ccff1QA5f79+/q8S5YsUdzd3RULCwulS5cuSmBgoOLi4mJQ/tSpUxUHBwfFyspKGTRokDJ69GilWbNmBmlCQkKUevXqKWZmZkr58uUVPz8/ZdOmTYqiKEp0dLQCKGFhYTnq/nB9H/X+++8rNWvWzPVYbGysYmRkpGzZskVRFEXJzMxU5s6dq9SpU0dRq9VKxYoVlR49eijR0dE58p44cUJ58803FUdHR6VcuXKKt7e3MmzYMCUqKirXcz2qKPf+u+++UypWrKiYmpoqlStXVj799FMlPT3dIE1qaqry3nvvKeXLl1fUarXStWtXJTY21iDNw99Xamqq0rVrV8XNzU0xMzNTXF1dlU6dOinHjx/Pcf6zZ88q3t7eSlJSksF1jBgxQrGxsVEaN25coOsv6d+rZ11GRoayefNmJSMjo7SrIp4yufdll9z7F9+aiDVK7RW1ldrLaynLRmxQ5r+7R9n56lDlTI1apXbf83vGfZRKUZ5glGYZkJCQgK2tLfHx8Tnmkk9LSyM6OhpPT0+D6SyLS1HeMhdV69atqVevHnPmzCnR8wAMHTqUyMhIDh48mGeaNm3a4OLiwk8//fRE54qOjsbHx4fz589TrVq1JyrraXqa9/5ZU9K/V886jUbD9u3b6dChA6ampqVdHfEUyb0vu+Tev/g+3P8hv8X8hmNmJu+c/pZzqDC/G86bF7fQ4NjhUrnv+T3jPkq6JIkSN3PmTNq0aYOlpSU7duxg5cqVBouupaSksGjRIgICAjA2NmbNmjXs3r2bXbt2PfG5t2/fzrBhw56rYEEIIYQQL5Zzd84B0CshCbdyB5lu5oexZR26Xfm1lGtWMBIwiBJ3/PhxZsyYQWJiIl5eXsydO5chQ4boj6tUKrZv3860adNIS0vD19eXjRs3GiwwVlQjR4584jKEEEIIIZ7E3RTdVOQ+GRmc0LwEZmCbnoyJ6vno6CMBgwAgNDS0xMpev359vsctLCzYvXt3iZ1fCCGEEKK0ZGRlkJaVDioVFdLV3NI6YZ96l4a3IzHOyCjt6hWIBAxCCCGEEEKUkExtJv9JScUuS8u91NeokmVMj3QzOoWtJ9PaurSrVyASMAghhBBCCFFCzE3MGXcvjsqZmWzOagiAY3oKAMojC9A+q8rW9CtCCCGEEEI8RX9d3kXlzEw0QKKiW2PKJCNBd1CrLb2KFYK0MORhwYIFLFiwQFamFUIIIYQQRfb7qYVoTU24b2RE0j9mgIJV0nUAMu3sSrVuBSUtDHkYOXIk58+f58SJE6VdFSGEEEII8ZyanRZNl4pu7KzghlarmxUpPisOAOU5WWvp+ailEEIIIYQQz5m7qXdJQ9ftyMyuDjyYRVVJvw/w3Ax6loBBCCGEEEKIEnDxznn957rlugCQqlJwStaty6CpYF8a1So0CRjKoNatWzN27NjHpvPw8GDOnDklXp/S9NVXX2FsbMy3336b49jkyZOpV69ejv0xMTGoVCrCw8P1+xRFYcmSJTRt2hQrKyvs7Oxo1KgRc+bMISUlpcTqv2fPHlq0aIG1tTUuLi589NFHZGZmGqRRFIWZM2fi4+NDuXLlcHd3Z9q0afmW26lTJypXroy5uTmurq707duXGzdu6I/HxMTg5+eHpaUlfn5+xMTEGOR/44032LhxY7FdpxBCCPE8+jMsGADrrCxszepxySSLMLNM/rJxBkBTwaE0q1dgEjCIF0ZGERY/WbZsGRMnTmTZsmVPdO6+ffsyduxYOnfuzL59+wgPD+ezzz5jy5Yt7Ny584nKzsuZM2fo0KED7dq1IywsjHXr1rF161YmTZpkkG7MmDEsXbqUmTNnEhkZydatW2nSpEm+Zb/66qusX7+eCxcusHHjRi5fvsxbb72lPz5+/Hjc3d0JDw/H1dWVDz/8UH9s3bp1GBkZ0a1bt+K9YCGEEOI5c+nmSQBqZmhIuJnBQQsNhy0yKZelASDd3a00q1dgEjCUMQMGDGD//v189913qFQqVCpVjrfDoGuF+Ouvv/jggw/06QDu3r1Lz549cXd3R61WU6dOHdasWWOQNzExkd69e2NpaYmrqyuzZ8/O0aoRGxvL66+/joWFBZ6enqxevTpHi0ZcXBxDhgzB0dERGxsb/vOf/3DmzBn98ewWgKVLl+Lp6Ym5uXmhvov9+/eTmprK1KlTSUhI4MiRI4XKn239+vWEhISwZs0aPvnkExo3boyHhwedO3dm7969vPrqq0Uq93HWrVvHSy+9xOeff463tzetWrVixowZLFiwgMTERAAiIiJYuHAhW7ZsoVOnTnh6etKwYUPatGmTb9kffPABzZo1o0qVKrRo0YJJkyZx9OhRNBqNvtz+/ftTrVo1BgwYQEREBKC7Z59++ikLFiwokWsWQgghnhuZ6cSg+7tpZGrJ33Ep3DFWQIGa92J0SWQMQ9mjKAopmpRi/UnNTC1QOkVRClTH7777jubNmzN06FBiY2OJjY2lUqVKOdJt2rSJihUrMnXqVH06gLS0NBo2bMivv/7Kn3/+ybBhw+jbty/Hjx/X5x03bhyHDx9m69at7Nq1i4MHD3L69GmD8vv168eNGzcIDQ1l48aNLFmyhNu3bxukefvtt7l9+zY7duzg1KlTNGjQgNdee4179+7p01y6dImNGzeyadMmgy5CBREcHEzPnj0xNTWlZ8+eBAcHFyp/tpCQEHx9fencuXOOYyqVCltb2zzzWllZ5fpjY2NDxYoVGTFiRJ5509PTcwRJFhYWpKWlcerUKQB++eUXvLy82LZtG56ennh4eDBkyBCD7/Bx7t27R0hICC1atMDU1BSAunXrsnv3brRaLTt37uSll14CYMKECYwcOTLXf1NCCCFEWZJ1aRdXTHUrGKRV8OL45XvYZamwy8igfHqSLo2VVWlWscBkHYZilJqZStPVTUvl3Md6HUNtqn5sOltbW8zMzFCr1bi4uOSZzt7eHmNjY33f+Gzu7u4G3U9GjRrFb7/9xvr162nSpAmJiYmsXLmS1atX89prrwGwfPly3Nz+bXKLjIxk9+7dnDhxgkaNGgGwdOlSqlWrpk9z6NAhjh8/zu3btylXrhwAM2fOZPPmzfzvf/9j2LBhgK4b0o8//oijo2NBvia9hIQE/ve///H7778D0KdPH1555RW+++47rAr5yxsVFYWvr2+h8mTLK8jRarUkJSUZfG+PCggIYM6cOaxZs4bu3btz8+ZNpk6dCqAP8K5cucJff/3Fhg0b+PHHH8nKyuKDDz7grbfeYu/evfnW7aOPPmL+/PmkpKTQrFkztm3bpj82c+ZM3n33XTw8PHjppZdYvHgxBw4cIDw8nG+++Ybu3btz8uRJ2rZty9y5czEzMyvkNyOEEEI837SHv+Pru3fZYmVJyyY9uPabMTUTTYgyi/83kUyrKl5EWVlZfPnll9SpUwd7e3usrKz47bffuHr1KqB7QNVoNAZ95G1tbQ0eqC9cuICJiQkNGjTQ7/P29qZ8+fL67TNnzpCUlESFChUM3rxHR0dz+fJlfboqVaoUOlgAWLNmDVWrVqVu3boA1KtXjypVqrBu3bpCl1XQ1p3ceHt75/nj5eWFk5NTnnnbtm3Lt99+y/DhwylXrhw+Pj506NABAKMH/wPSarWkp6fz448/8sorr9C6dWuCg4PZt28fFy5cyLduEyZMICwsjJ07d2JsbEy/fv301+ru7s62bdu4evUq27Ztw8HBgffee49FixYRGBiItbU1Fy5cICoqisWLFxf5+xFCCCGeSxmpmFw7zmspqQB4mHpjoei6d7dzfDAZirFxadWu0KSFoRhZmFhwrNexYitPq9WSmJiItbW1/gEwv3M/Dd9++y3fffcdc+bMoU6dOlhaWjJ27NgiDTjOT1JSEq6uroSGhuY4ZvfQqoiWlpZFKj84OJhz585hYvLvr4BWq2XZsmUMHjwYABsbG+Lj43PkjYuLA9B3NfLx8SEyMrJI9Xhca0bv3r3zfeAeN24cH3zwAbGxsZQvX56YmBg+/vhjvLx0S8+7urpiYmKCj4+PPk+NGjUAuHr1ar4tIw4ODjg4OODj40ONGjWoVKkSR48epXnz5jnSTp8+nbZt29KwYUOGDh1KYGAgpqamvPnmm+zdu5dRo0ble51CCCHEC+XYYlTAHWMjDqnVdIu0AeJRUPDW/I0GUD3oQfE8kIChGKlUqgJ1CyoorVZLpkkmalP1YwOGwjAzMyMrK6tI6Q4fPkznzp3p06ePvo4XL16kZs2aAHh5eWFqasqJEyeoXLkyAPHx8Vy8eBE/Pz8AfH19yczMJCwsjIYNGwK6sQj379/Xn6dBgwbcvHkTExMTPDw8nviaH3b27FlOnjxJaGgo9vb/zn987949WrduTWRkJNWrV8fX15fr169z69YtnJ2d9elOnz6Nubm5/vp69erFO++8w5YtW3KMY1AUhYSEhDzHMTxJl6RsKpVKn27NmjVUqlRJ33rTsmVLMjMzuXz5MlWrVgXg4sWLgK51pqC0Wt2iM+np6TmORUREsHr1av21ZGVl6QdHazSaAv1bE0IIIV4okVvZZGXJSfNyOFi5cuzADSwBjZEK05jLaACjIr70LA3SJakM8vDw4NixY8TExHDnzh39w2Bu6Q4cOMDff//NnTt3AKhWrRq7du3iyJEjRERE8O6773Lr1i19Hmtra/r378+ECRPYt28f586dY/DgwRgZGelnWqpevTr+/v4MGzaM48ePExYWxrBhw7CwsNCn8ff3p3nz5nTp0oWdO3cSExPDkSNH+O9//8vJkyef6PqDg4Np0qQJfn5+1K5dW//j5+dH48aN9YOfAwIC8PX1pWfPnhw5coQrV67wv//9j08//ZQxY8Zg/KApsXv37vTo0YOePXsyffp0Tp48yV9//cW2bdvw9/dn3759edblSbokga7F5+zZs5w7d44vv/ySr7/+mrlz5+rr5u/vT4MGDRg0aBBhYWGcOnWKd999lzZt2uhbHY4fP0716tX5+++/ATh27Bjz588nPDycv/76i71799KzZ0+qVq2ao3VBURSGDRvG7Nmz9a09LVu25IcffiAiIoIff/yRli1bFuEuCSGEEM+p1PtwI4yvK5TnF2srypuVJyNJ9yItvoIJmQ9ekErAIJ5pH374IcbGxtSsWRNHR0f9+INHTZ06lZiYGKpWraofJ/Dpp5/SoEEDAgICaN26NS4uLnTp0sUgX1BQEM2bN+eNN97A39+fli1bUqNGDYMZfX788UecnZ3x8/Oja9euDB06FGtra30alUrF9u3b8fPzY+DAgfj4+PDOO+/w119/Gbztz82KFSv0gcejMjIyWLVqVZ5rBHTr1o0ff/wRjUaDiYkJO3fupHLlyvTs2ZPatWvzxRdfMGbMGL788kt9HpVKxerVqwkKCmLz5s20atWKl156icmTJ9O5c2cCAgLyre+T2LFjB6+88gqNGjXi119/ZcuWLQb3w8jIiF9++QUHBwf8/Px4/fXXqVGjBmvXrtWnSUlJ4cKFC/pWAbVazaZNm3jttdfw9fVl8ODBvPTSS+zfv18/AD3bkiVLcHZ25o033tDvmzx5MmlpaTRt2hRvb29GjhxZYtcvhBBCPHMit3PHCFIf9A5pou1Gea0RmSg09K9E1oOXsOVq1SrNWhaKSnmSEZtlQHZ3kvj4eGxsbAyOpaWlER0dXaQ1AApCq9WSkJCAjY1NsXZJetqSk5Nxd3dn1qxZ+vEBj7p+/TqVKlVi9+7d+tmViuqLL75g//79uY5/eF68KPe+KEr69+pZp9Fo2L59Ox06dNBPYyvKBrn3ZZfc+xdI/HVY0pr/UxKZ4KzrJdD58lxcbyvcMM7ik6BXuVq/Lmi12L8/kqOVKpXafc/vGfdRMoZBFLuwsDAiIyNp0qQJ8fHx+qk+H+7fv3fvXpKSkqhTpw6xsbFMnDgRDw8P/TiHJ7Fjxw7mz5//xOUIIYQQQhTKzyMg+R9OOOjGSJqbmLM7PQ1rNVTHFLWJCh50BTevVx/u3inN2haYBAyiRMycOZMLFy5gZmZGw4YNOXjwIA4ODvrjGo2GTz75hCtXrmBtbU2LFi0ICQkplgj74UXkhBBCCCGeiqTbEHMAgOPW5QENamy4hpabZvCqbwU0N27ok1s0bAA7d5ZSZQtHAgZR7OrXr69faTgvAQEBJdq3XwghhBDiqdo6BoBUI2OukgmAe/xr/KUCSy30fsOHjAvhABjZ2KAyeX4ew8tW5+hCWLBgATVr1qRx48alXRUhhBBCCPEsy0iGqP8D4M9K9dCiGyLsHdmQlqkmuKiMqeJoSWp4GABmFSuWWlWLQgKGPIwcOZLz589z4sSJ0q6KEEIIIYR4lv3fJ6Doxia89MYiAGyTnXHOMKV5ugktq+q6ZaedOw9AenR06dSziCRgEEIIIYQQoqiyMuHsOt1np5qc0ugGMjf5uwMAWuCzQboFVTMerHlkVqnSU6/mk5CAQQghhBBCiKI6vQI0qbrPnebzy+VfQAHPuy8BkGpniqmJ7pE788Fit2bVvEujpkUmAYMQQgghhBBFoUmFw9/pPjceRopzDXb9tYtK92tghBEKCmk1/13jQJuYCIC6fv3SqG2RScAghBBCCCFEURyaDXFXwdIJ/L/g9K3TpGel4/tPUwCiTLR0fdUDgMyEBP0aDOrmzUurxkUiAYMQQgghhBCFlfwP7J+h+9z2SyhnxfqL67FJdcDrXl0AzltpeamSnS75kd/1Wc08PJ5yZZ+MBAyi0FQqFZs3by7tapSod999F2NjYzZs2JDj2IABA+jSpUuO/aGhoahUKuLi4vT7MjIymDFjBnXr1kWtVuPg4EDLli1Zvnw5Go2mxOq/fv166tWrh1qtpkqVKnz77be51vXRn5s3bxao/EuXLmFtbY2dnZ3B/l27duHj44ONjQ19+/YlIyNDfyw+Ph4fHx/++uuvJ74+IYQQotSt6wsoYGQKtd8C4Pzd8ygqhSjLm0SYZtKwsas+efKRI7oPKhVGxsalUOGik4BBvPAefmgtiJSUFNauXcvEiRNZtmzZE503ICCAr7/+mmHDhnHkyBGOHz/OyJEjmTdvHufOnSty2fnZsWMHvXv3Zvjw4fz55598//33zJ49m/nz5+dIe+HCBWJjY/U/Tk5Ojy1fo9HQs2dPXnnlFYP9Wq2WXr16MXz4cH7//XdOnjzJkiVL9McnTZrE8OHDqVKlypNfpBBCCFGabpyBqw9aDOq+A8YmpGamcjvlNonmd/lFrWWbWsPglz31WTIeTKVq/tJLpVHjJyIBQxmzbds27OzsyMrKAiA8PByVSsWkSZP0aYYMGUKfPn1yze/xoAmta9euqFQq/fbly5fp3Lkzzs7OWFlZ0bhxY3bv3m2QNzY2ltdffx0LCws8PT1ZvXo1Hh4ezJkzR58mMjKSl19+GXNzc2rWrMnu3btztGhcu3aN7t27Y2dnh729PZ07dyYmJkZ/PLsFYNq0abi5ueHr61uo72jDhg3UrFmTSZMmceDAAa5du1ao/NnmzJnDgQMH2LNnDyNHjqRevXp4eXnRq1cvjh07RrVq1YpU7uP89NNPdOnSheHDh+Pl5cXrr7/Oxx9/zDfffIOiKAZpnZyccHFx0f8YGT3+fwmffvop1atXp3v37gb779y5w507d3jvvfeoVasWnTp1IiIiAoAjR45w4sQJxowZU3wXKoQQQpQGRYHNw3WfTdXQaR4AV+KuoKBgrLFE0VTAxtyEas7W+mypD9b2Mq9R/alX+UlJwFCMFEVBm5JSvD+pqQVK9+iDYF5eeeUVEhMTCQvTrTS4f/9+HBwcCA0N1afZv38/rVu3zjV/9kJ2y5cvJzY2Vr+dlJREhw4d2LNnD2FhYbRr146OHTty9epVfd5+/fpx48YNQkND2bhxI0uWLOH27dv641lZWXTp0gW1Ws2xY8dYsmQJ//3vfw3Or9FoCAgIwNramoMHD3L48GGsrKxo166dQUvCnj17uHDhArt27WLbtm0F+m6yBQcH06dPH2xtbWnfvj0rVqwoVP5sISEh+Pv7Uz+XmRBMTU2xtLTMNd/Vq1exsbGhYsWK2NjYYGVlleNn+vTpeZ43PT0dc3Nzg30WFhZcv349R3egevXq4erqSps2bTh8+PBjr2nv3r1s2LCBBQsW5Djm6OiIq6srO3fuJCUlhYMHD/LSSy+h0WgYMWIEixcvxvg5a4IVQgghcghfDbd1C7DxUg9QqQCIuBtBndhWVLhfFxRoWc1Bn0X70DOK3dtvP9XqFgeT0q7Ai0RJTeVCg4bFXu6tAqTxPX0KlVr92HS2trbUq1eP0NBQGjVqRGhoKB988AFTpkwhKSmJ+Ph4Ll26RKtWrXLN7+joCICdnR0uLi76/XXr1qVu3br67S+//JKff/6ZrVu38v777xMZGcnu3bs5ceIEjRo1AmDp0qUGb9l37drF5cuXCQ0N1Zc9bdo02rRpo0+zbt06tFotS5cuRfXgF3T58uXY2dkRGhpK27ZtAbC0tGTp0qWYmZkV4Nv7V1RUFEePHmXTpk0A9OnTh3HjxvHpp5/qz1eYsvIKvPLj5ubG6dOnSUpKwsrKKte3/vb29nnmDwgI4IMPPmDAgAG8+uqrXLp0iVmzZgG6Vh4PDw9cXV1ZtGgRjRo1Ij09naVLl9K6dWuOHTtGgwYNci337t27DBgwgFWrVmFjY5PjuEqlYv369XzwwQeMGTOGDh06MGjQIL7++mteffVVzM3NadmyJXfu3GHUqFG8//77hf5uhBBCiFKlKLDzU93nctbQ/hv9oT/+jKJ5TBeMMGKpdRrTu9bWH7u/bp3+s3mNGk+tusVFAoYyqFWrVoSGhjJ+/HgOHjzIV199xfr16zl06BD37t3Dzc2t0N1lkpKSmDx5Mr/++iuxsbFkZmaSmpqqb2G4cOECJiYmBg+j3t7elC9fXr994cIFKlWqZBCINGnSxOA8Z86c0Q+4fVhaWhqXL1/Wb9epU6fQwQLAsmXLCAgIwMFB91agQ4cODB48mL179/Laa68VqqyCtvo8ysTEBG9vbxISErCxsSlQN6GHDR06lMuXL/PGG2+g0WiwsbFhzJgxTJ48WV+Wr6+vQVetFi1acPnyZWbPns1PP/2UZ7m9evXCz88vz3O//PLL+lYngIsXL/Ljjz8SFhaGn58fY8aMoX379tSuXRs/Pz9eeg77cQohhCjDDsyC1Hu6z//5HEzK6Q85HquLEUYkmyTQvF5lylv+eyxh61YAjGxsUBXy7/qzQAKGYqSysMD39KliK0+r1ZKQmIiNtfVjHxpVFhYFLrd169YsW7aMM2fOYGpqSvXq1WndujWhoaHcv38/z9aF/Hz44Yfs2rWLmTNn4u3tjYWFBW+99VahBxw/TlJSEg0bNiQkJCTHsezWDyDP7j75ycrKYuXKldy8eRMTExOD/cuWLdMHDDY2NrnO9BMXF4exsbH+3D4+PkRGRha6HlevXqVmzZr5pvnkk0/45JNPcj2mUqn45ptvmD59Ojdv3sTR0ZE9e/YA4OXllWeZTZo04dChQ3ke37t3L1u3bmXmzJnAgy54Wi0mJiYsWbKEQYMG5cjz7rvvMmvWLLRaLWFhYbz99tuo1WpatWrF/v37JWAQQgjxfIk5qPtveU9oOky/++7fSagSdAHCSftIxjZ7WX9Mycoi7byuC5N12397TTxPJGAoRiqVqkDdggpMq8UoMxMjtbrQb5nzkz2OYfbs2frgoHXr1nz99dfcv3+f8ePH55vf1NRUP2g62+HDhxkwYABdu3YFdA/2Dw9E9vX1JTMzk7CwMBo21HXbunTpEvfv3zdIc+3aNW7duoWzszOAwdtqgAYNGrBu3TqcnJxy7RbzJLZv364f3/FwX/s///yTgQMHEhcXh52dHb6+vqxdu5b09HTKlfv37cHp06fx9PTE1NQUgF69evHJJ58QFhaWYxyDRqMhIyMj18DmSbskZTM2Nsbd3R2ANWvW0Lx5c4Og6lHh4eG4urrmefz33383uO9btmzhm2++4ciRI/rzPCw4OBh7e3s6deqkv8/ZU8lqNJoc/4aEEEKIZ9rfpyE6VPe5W7DBod0rzqNCRbpKw3kLC5p5VtAfS4uMhKwHC7Y9Zys8Z3v+2kTEEytfvjwvvfQSISEh+j72fn5+nD59mosXLz62hcHDw4M9e/Zw8+ZN/YNgtWrV2LRpE+Hh4Zw5c4ZevXqhfbCaIUD16tXx9/dn2LBhHD9+nLCwMIYNG4aFhYV+bECbNm2oWrUq/fv3548//uDw4cN8+qmun2B2mt69e+Pg4EDnzp05ePAg0dHRhIaGMnr0aK5fv/5E30twcDCvv/46devWpXbt2vqf7BmZsls1evfujUqlol+/fpw6dYpLly6xbNky5syZYxBsjR07lpYtW/Laa6+xYMECzpw5w5UrV1i/fj3NmjUjKioq13pkd0ny8vLC29s715/8AoY7d+6waNEiIiMjCQ8PZ8yYMWzYsMFgNqo5c+awZcsWLl26xJ9//snYsWPZu3cvI0eO1KeZP3++QTesGjVqGHwv7u7uGBkZUbt2bYOuZQC3b98mMDCQefN0M0eUL1+eGjVqMGfOHH7//Xf27NlDy5YtC35zhBBCiNKU9A/sezDhSK03oeK/Y1b/uZbIP9cSANjlchxbY2+MjP4d95j4oJUfwPrBWMvnjQQMZVSrVq3IysrSBwz29vbUrFkTFxeXx05DOmvWLHbt2kWlSpX0b86DgoIoX748LVq0oGPHjgQEBOQYPPvjjz/i7OyMn58fXbt2ZejQoVhbW+tn9DE2Nmbz5s0kJSXRuHFjhgwZop8lKTuNWq3mwIEDVK5cmTfffJMaNWowePBg0tLSHtviMHnyZP00sI+6desWv/76K926dctxzMjIiK5duxIcrHubYGdnx8GDB9FoNHTq1Il69eoxd+5cgoKCePfdd/X5ypUrx65du5g4cSKLFy+mWbNmNG7cmLlz5zJ69Ghq166d41zFZeXKlTRq1IiWLVty7tw5QkNDDcaDZGRkMH78eOrUqUOrVq04c+YMu3fvNggQ7ty5YzAupDDGjBnD+PHjcXNz0+9bsWIFa9eu5Y033mDChAk0bty46BcohBBCPC3aLAhuA5d26bZbGk4Rviv4HCqM+MfyGjGOxxjZyvDve9K+UABMnJ0xfmQM5vNCpRR1ZGYZkZCQgK2tLfHx8TkeSNPS0oiOjsbT0zPHNJbFQavVFnng6/Pg+vXrVKpUKceD6sMOHz7Myy+/zKVLl6hateoTna9///6oVKoiT5P6NL3o9z4/Jf179azTaDRs376dDh066Lu3ibJB7n3ZJff+GXd2I2x8ME7Pww8G/KI/FHc7hZAvjpJsnMyBqqu5ZGzC+fdXGvztvtCoMdqkJNTNm1Fl+XL9/tK+7/k94z5KxjCIp2bv3r0kJSVRp04dYmNjmThxIh4eHgaz7vz8889YWVlRrVo1Ll26xJgxY2jZsuUTBwuKohAaGprvoF4hhBBCCANaLex8aE2otlP1HxVFYfv3f5CKwk+15oFFLPZZDQ2ChczERLRJSQCU79nzqVW7uEnAIJ4ajUbDJ598wpUrV7C2tqZFixaEhIQYRNWJiYl89NFHXL16FQcHB/z9/fVrCDwJlUqV68xGQgghhBB5+nMDJMbqPjccBG7/Dlq+dOo292+m8IdFCorFTVQq6FK7nkH2rH/u6D9bFWFtpmeFBAziqQkICCAgICDfNP369aNfv35PqUZCCCGEEHnQamH7RN1nEwuD1oWk+2nsXq6bKvV8+euoVAqK1pTXqxpOm3rr668AdDNeFmF9qGdF2eocLYQQQgghREHs/xrS4nSfO3yrW9n5gR2LzqLN0g0DNlXpFnJToVCjguEqzumRFwCwHzK45OtbgqSFQQghhBBCiIdpUuHYIt1nlzrQoK/+UMTvN7j9VyIA9t423Dc/jYnWhCq2FTFS/fsuPn7HDjJv39al6/tv/ueRtDDkYcGCBdSsWVOmfhRCCCGEKGt2fgpp8WBiDj1C9Lu1WVr2r74IgNrGjKu1LFEZaVEZZdKr+jsGRdxbvkL3wdj4uZ1ONZsEDHkYOXIk58+fz7HSsBBCCCGEeEEpCkT8CieW6rY7L4DyVfSHdy49R5ZGtzBtqwHVWXnkMsbm1wCo41jnoWIU0iIiAFA/tA7S80q6JAkhhBBCCAGwdxqc+EH3uXY3qPOW/tC1yHtcDvsHADcfO2ac/ouUrETMk71xcrxJrQq19GkTfv0VNBoAXD7/7OnVv4RIC4MQQgghhBAxh+Hgt/8OdH55nMHhM3t0LQkqI2g3rDahF25jZn8CE+sIyhmbYmxkrE97b+WPABhZWVHO0/OpVL8kScAghBBCCCHKNk0abBr673a3YHCprd9MuJPKX2fvAtC4oyf7ou+QptFibHkBlUpLPad6+rSZd++SdvYsADbt8p9O/nkhAYN4LJVKxebNm0u7GiXq3XffxdjYmA0bNuQ4NmDAALp06ZJjf2hoKCqViri4OP2+jIwMZsyYQd26dVGr1Tg4ONCyZUuWL1+O5kHTZElYv3499erVQ61WU6VKFb799ts80x4+fBgTExPq1av3xOWGhYVRv359rKys6NixI/fu3dMfy8zMpGHDhhw/frzI1yWEEEKUOEXRreac8Ldu28PPoCsSwN6fIgGo4G5Fg7ZVmLM7CozSMbbQtTq84fWGPm3sZ5/rPhgZ4fzppyVf/6dAAgbxwsnIyChU+pSUFNauXcvEiRNZtmzZE503ICCAr7/+mmHDhnHkyBGOHz/OyJEjmTdvHufOnSty2fnZsWMHvXv3Zvjw4fz55598//33zJ49m/nz5+dIGxcXR79+/XjttdeKpdwhQ4bwn//8h9OnTxMfH8/06dP1x2bNmkXLli1p8gIM9hJCCPECOzjr30HOFvbQdZHB4S2zT/P3hfsANHnDk6SMTC7eSsJYfRmVSsFYZYxfRT9AN9g56cgRAKxat8LI3PzpXUcJkoChjImJiUGlUuX4aZ3HcuUeHh4AdO3aFZVKpd++fPkynTt3xtnZGSsrKxo3bszu3bsN8sbGxvL6669jYWGBp6cnq1evxsPDgzlz5ujTREZG8vLLL2Nubk7NmjXZvXt3jhaNa9eu0b17d+zs7LC3t6dz587ExMToj2e3AEybNg03Nzd8fX0L9Z1s2LCBmjVrMmnSJA4cOMC1a9cKlT/bnDlzOHDgAHv27GHkyJHUq1cPLy8vevXqxbFjx6hWrVqRyn2cn376iS5dujB8+HC8vLx4/fXX+fjjj/nmm29QFMUg7fDhw+nVqxfNmzcvlnIjIiIYOnQoPj4+9OzZk4gHM0JcuXKF4OBgpk2bVvwXLIQQQhSXa8dhb6Dus8oIeq4FW3f94RPbo7l+IQ6AGi1c8arvSNBO3bSqJpZRADipnVCpVAAk7toFaWlgZIRrYODTu44SJgFDMVIUBU16VrH+ZGYULN2jD4Z5qVSpErGxsfqfsLAwKlSogJ+fX67ps6eVXb58ObGxsfrtpKQkOnTowJ49ewgLC6Ndu3Z07NiRq1ev6vP269ePGzduEBoaysaNG1myZAm3HyxgApCVlUWXLl1Qq9UcO3aMJUuW8N///tfg/BqNhoCAAKytrTl48CCHDx/GysqKdu3aGbQk7NmzhwsXLrBr1y62bdtWsBv2QHBwMH369MHW1pb27duzYsWKQuXPFhISgr+/P/Xr189xzNTUFEtLy1zzXb16FSsrK4MfGxsbKlasiI2NDVZWVgZv7h+Vnp6O+SNvMCwsLLh+/Tp//fWXft/y5cu5cuUKX3zxRYGupyDl1q1bl127dpGZmcmePXt46aWXAF1gMmPGDKyf83mnhRBCvOBiDgIPnqHeWg6Vm+oPJd5L5fjWaAAsrE3x6+kDwNoTuheLpla6wKGydWUAMuPiuBWoe1Fm9Z9XMbG3fxpX8FTItKrFKDNDy5Ix+0vl3MO+a4VpOePHpjM2NsbFxQWAtLQ0unTpQvPmzZk8eXKu6R0dHQGws7PT5wPdg2LdunX1219++SU///wzW7du5f333ycyMpLdu3dz4sQJGjVqBMDSpUsN3rLv2rWLy5cvExoaqi972rRptGnTRp9m3bp1aLVali5dqo/ely9fjp2dHaGhobRt2xYAS0tLli5dipmZ2WO/g4dFRUVx9OhRNm3aBECfPn0YN24cn376qf58hSkrr5aa/Li5uREeHm6wT6vVkpSUhJWVFUZGRtjn8z+dgIAAPvjgAwYMGMCrr77KpUuXmDVrFqBr5fHw8CAqKopJkyZx8OBBTEwK9mtfkHKXLl3Ke++9x8yZM2nZsiUff/wxP/30E2q1msaNGxMQEMDly5d55513CHyB3rQIIYR4Ady7Aofm6D7X7wu1uugPKYrCz7PC9NtvfdQIE1Nj/r6fQnqmFlDAVDcI2s3KDYCrgwaTefs2xvb2uH/zzVO6iKdDAoYybNCgQSQmJrJr1y6MjArX2JSUlMTkyZP59ddfiY2NJTMzk9TUVH0Lw4ULFzAxMaFBgwb6PN7e3pQvX16/feHCBSpVqmQQiDza3/3MmTNcunQpx5vqtLQ0Ll++rN+uU6dOoYMFgGXLlhEQEICDgwMAHTp0YPDgwezdu7dA/fwfVtBWnkeZmJjg7e1tsE+r1ZKQkICNjc1j783QoUO5fPkyb7zxBhqNBhsbG8aMGcPkyZMxMjIiKyuLXr16MWXKFHx8fApcr8eVC1CrVi327/83SL579y5ffPEFBw4cYNSoUbRo0YJNmzbRuHFjmjZtSseOHQvxzQghhBAlJC0Bfh4O6QngXBvazzA4HBoSSeLdNACadfXCxsECgMUHrgBgbXkPHrxXHFB7AGlRUaSfPw+AbedOGOXRq+B5JQFDMTIxM2LYd62KrTytVktiYgLW1o9/aDQxK9wDf2BgIL/99hvHjx8vUreRDz/8kF27djFz5ky8vb2xsLDgrbfeKvSA48dJSkqiYcOGhISE5DiW3foB5NndJz9ZWVmsXLmSmzdvGrx1z8rKYtmyZfqAwcbGxqBrT7a4uDiMjY315/bx8SEyMrLQ9bh69So1a9bMN80nn3zCJ598kusxlUrFN998w/Tp07l58yaOjo7s2bMHAC8vLxITEzl58iRhYWG8//77gO7flqIomJiYsHPnTv7zn/8UutzcjBs3jrFjx1KxYkVCQ0MJDAzE0tKS119/ndDQUAkYhBBClL6/jsBPXSEzDYzM4M0lYKbWH75y5h/OH4oFwLGyNQ0DPADdi8G1x3XdkV5pEMvBO+Bq6YqHmSuXBuh6R6jMzHAcZ7h+w4tAAoZipFKpCtQtqKC0WhUm6caYljMudAtAfjZu3MjUqVPZsWMHVatWfWx6U1NTsrKyDPYdPnyYAQMG0LVrV0D3YP/wQGRfX18yMzMJCwujYcOGAFy6dIn79+8bpLl27Rq3bt3C2dkZ+HfMRLYGDRqwbt06nJycsLGxKdL15mX79u0kJiYSFhaGsfG/9+3PP/9k4MCBxMXFYWdnh6+vL2vXriU9PZ1y5crp050+fRpPT09MTU0B6NWrF5988ol+qtGHaTQaMjIycg1snrRLUjZjY2Pc3XUDtdasWUPz5s1xdHREq9Vy9sF80Nm+//579u7dy//+9z88H7OgTF7lPmrPnj1ERESwfPlyQBd4ZU8lW5JTygohhBAFdvcyhLytCxZAFyw4/7tCs6Io7PxBN6uhqbkxXcf/+/f8m/+LJCNLi5EKElS6NJWsK3Hzy0Cy7uq6JzmMGoXRg+eCF4kMei5j/vzzT/r168dHH31ErVq1uHnzJjdv3jSYP/9RHh4e7Nmzh5s3b+of+KtVq8amTZsIDw/nzJkz9OrVC61Wq89TvXp1/P39GTZsGMePHycsLIxhw4ZhYWGhHxvQpk0bqlatSv/+/fnjjz84fPgwnz6Yrzg7Te/evXFwcKBz584cPHiQ6OhoQkNDGT16NNevX3+i7yI4OJjXX3+dunXrUrt2bf1P9oxM2a0avXv3RqVS0a9fP06dOsWlS5dYtmwZc+bMYfz48fryxo4dS8uWLXnttddYsGABZ86c4cqVK6xfv55mzZoRFRWVaz2yuyQ9+uPl5aX/nF/AcOfOHRYtWkRkZCTh4eGMGTOGDRs26GejMjIyMri+2rVr4+TkhLm5ObVr19YHMfPnzzfohvW4ch+WlpbG+++/z5IlS/TBbcuWLfXfw8aNG2nZsmWh7o8QQghRrFLvw8qOkJGk227yLtTuapDk958vk5Wpe555fcRLmJbTvVvP0ir89Luut4G1uQlXE2J0RWgqEv/zzwCU8/WlwpDBT+FCnj4JGMqYkydPkpKSQmBgIK6urvqfN998M888s2bNYteuXVSqVEn/5jwoKIjy5cvTokULOnbsSEBAgMF4BYAff/wRZ2dn/Pz86Nq1K0OHDsXa2lo/846xsTGbN28mKSmJxo0bM2TIEP0sSdlp1Go1Bw4coHLlyrz55pvUqFGDwYMHk5aW9tgWh8mTJ+ungX3UrVu3+PXXX+nWrVuOY0ZGRnTt2pXg4GBAN+D74MGDaDQaOnXqRL169Zg7dy5BQUG8++67+nzlypVj165dTJw4kcWLF9OsWTMaN27M3LlzGT16NLVr185xruKycuVKGjVqRMuWLTl37hyhoaGFXv/gzp07BuNCClPulClTeP311w0Wg5s7dy7h4eH4+fnRsWPHXL9rIYQQ4qlQFFjT89/F2dzqQ1vDyTiS49MJ26kbi9mkoyfuvv+OuzwY9Q/JGbreFv1bmXA/XfcCtdkPx3VlA65ff1XoCVOeFyqlqCM1y4iEhARsbW2Jj4/P8YCalpZGdHQ0np6eOaafLA6FGfj6PLh+/TqVKlVi9+7deQ4oPnz4MC+//DKXLl0qUHep/PTv3x+VSlXkaVJL04t27wujpH+vnnUajYbt27fToUMHfXc3UTbIvS+75N4/BQdmwt4vdZ+da8PgnWD2bzfh2MtxbF94lrQkDVbly9FveguDh/9mX+3hZryuG9PAjuH879JazNO0/Dhb1xph06UL7l9/VagqlfZ9z+8Z91EyhkGUmL1795KUlESdOnWIjY1l4sSJeHh4GKz58PPPP2NlZUW1atW4dOkSY8aMoWXLlk8cLCiKQmhoKIcOHXrSyxBCCCHE8yz27L+Ls1nYw4BtBsFCRlomv37/B+nJmQC0G1bHIFi4dDtJHyy8Wd+dP+4uA+CVv9RAEipzc1ynTnk611JKJGAQJUaj0fDJJ59w5coVrK2tadGiBSEhIQZRdGJiIh999BFXr17FwcEBf39//Vz/T0KlUuU6s5EQQgghypC4a/C/AYACRiYwcAdYlDdIEroqUh8s1G9bGWdPw7ftM3de0H8e9LInA/fpui29ckw3FqLivHkYFWFq9+eJBAyixAQEBBAQEJBvmn79+tGvX7+nVCMhhBBClBn3Y3SDnOOugpULdF8BTtUNkvz1512iTt4GoLyLmuZdDXs4XLuXzG9/3gSghos1FWxTqHolBb+zCh63wKJhQ6xeeflpXE2pkoBBCCGEEEK8WBJvw5LWupmRbCvruiGVr2KQJC1Jw+7l5/Xbr3T3yTFo+Y/r8WQP9p3VvR6HYrYxdIcWt/ugsi+P++ygEr6QZ0PZGk0phBBCCCFebJkZsLCZLlgwMoUBv+QIFhRFYfeK86Ql69YJqlKnApVq2udIE3JM1/3Ir5oDNd1siJ+3CLf7kGoGlefNw9TJ6elcUymTgEEIIYQQQrwYMlLg++aQoltIjbaBUN4jR7KkuHSuntetQWXraEGbQbVypDkec48jl3XlfN6xJmlRUTQ/8A8A13ztUT9YmLYskC5JQgghhBDi+afNglVvwr1Luu36/aDZ8FyTRh2/haJVUBlBx9F1KWdh+Eh86VYi/ZcdB6BjXTeqVlBzqfswjBTINAL7jz8s0Ut51kgLgxBCCCGEeP5tGQlXf9d99m4DneflmuzmlXiObtYtVNq8qze2juocacZtOEOaRosKGNfGh9uz55B5Uzf4ecPLKprU7VAil/CskoBBCCGEEEI83w5/B2fW6D47+ELPtbkmO3/oBr/MO4OigIuXLfVeq5QjzbErd/njejwAPRpXooqlEfdWrgTgQE3Y8YqacsblSuY6nlHSJUkIIYQQQjy/7lyC3Q8WTrN0gGH7wDjnI2787RRCV0eiaMHcypQOI+qgMlLlSPfRxj8AKGdixKdv1CT19AkyMzXsaKLip/8Y0dqteYlezrNIWhhEgUyePJl69eqVdjVK1PXr1zEzM6N27do5jsXExKBSqQgPD89xrHXr1owdO9ZgX1hYGG+//TbOzs6Ym5tTrVo1hg4dysWLF0uo9nDr1i0GDBiAm5sbarWadu3aERUVZZDm3XffpWrVqlhYWODo6Ejnzp2JjIzMt9ykpCTef/99KlasiIWFBTVr1mTRokUGacaNG4e9vT2VKlUiJCTE4NiGDRvo2LFj8VykEEII8bAsDazrA0oWWDrBu4cNVnHOptUqbJ4dhqIFlUo3bsHCOudia4ei/iHmbgoAw/y8sDQ14va3MznrqeKn14xBpaJVpVYlflnPGgkYxAtLo9EUKv2KFSvo3r07CQkJHDt2rMjn3bZtG82aNSM9PZ2QkBAiIiJYtWoVtra2fPbZZ0UuNz+KotClSxeuXLnCli1bCAsLo0qVKvj7+5OcnKxP17BhQ5YvX05ERAS//fYbiqLQtm1bsrKy8ix73Lhx/N///R+rVq0iIiKCsWPH8v7777N161YAfvnlF1avXs3OnTuZMWMGQ4YM4c6dOwDEx8fz3//+lwULFpTIdQshhCjDNKmwri/8EwEm5jD4N7BxyTXpnpXnSbqfDkCTjp44VbbJkUZRFMasDQfAqpwxo+racX3UKNLOn+dQbWN9utaVWhf7pTzrJGAogxITE+nduzeWlpa4uroye/bsXN+SZ1uxYgVTpkzhzJkzqFQqVCoVK1asACAoKIg6depgaWlJpUqVeO+990hKSjLI/8MPP1CpUiXUajVdu3YlKCgIOzs7gzSBgYE4OTlhbW3NkCFDmDRpUo4WjaVLl1KjRg3Mzc2pXr0633//vf5YdgvAunXraNWqFebm5jnedOdHURSWL19O37596dWrF8HBwQXO+7CUlBQGDhxIhw4d2Lp1K/7+/nh6etK0aVNmzpzJ4sWLi1Tu40RFRXH06FEWLlxI48aN8fX1ZeHChaSmprJmzRp9umHDhuHn54eHhwcNGjQgMDCQa9euERMTk2fZR44coX///rRu3RoPDw+GDRtG3bp1OX5cN3tEREQErVu3plGjRvTs2RMbGxuio6MBmDhxIiNGjKBy5colct1CCCHKqKxMWNAMLu7QbXdZCPZeuSa983ciF4/dAsDZ04aG7T1yTbfq2FXuJmcA8M2btbk2ZChJe/aSaQTHa+q6OJUvVx4HC4fivZbngAQMeViwYAE1a9akcePGhc6bkpGZ50+aJqvY0xbWuHHjOHz4MFu3bmXXrl0cPHiQ06dP55m+R48ejB8/nlq1ahEbG0tsbCw9evQAwMjIiLlz53Lu3DlWrlzJ3r17mThxoj7v4cOHGT58OGPGjCE8PJw2bdowbdo0g/JDQkKYNm0a33zzDadOnaJy5cosXLgwR5rPP/+cadOmERERwfTp0/nss89Y+WAQUrZJkyYxZswYIiIiCAgIKPB3sm/fPlJSUvD396dPnz6sXbvW4M18Qf3222/cuXPH4Dt42KOB0sOGDx+OlZUVVlZW2NjYULFiRWxsbPT7rKys8sybnq57a2Jubq7fZ2RkRLly5Th06FCueZKTk1m+fDmenp5UqpRz0Fe2Fi1asHXrVv7++28URWHfvn1cvHiRtm3bAlC3bl1OnjzJ/fv3OXXqFKmpqXh7e3Po0CFOnz7N6NGj8yxbCCGEKLSsTFjSCuJidNv1+0LtN/NMvn2BbkyCsYmKzmPq5VjNGSBLq/DVr7pVn2u4WNNw63IyHnTrje7ckHSV7nnr1UqvFuOFPD9k0HMeRo4cyciRI0lISMDW1rZQeWt+/luex171dWT5wCb67YZf7iZVk3t3kKae9izuUUO//fI3+7j3IPJ9WMzXrxe4bomJiaxcuZLVq1fz2muvAbB8+XLc3NzyzGNhYYGVlRUmJia4uBg29T3cKuHh4UFgYCDDhw/Xv/2fN28e7du358MPdfMV+/j4cOTIEbZt26bPN2/ePAYPHszAgQMB+Pzzz9m5c6dBS8UXX3zBrFmzePNN3f8QPD09OX/+PIsXL6Z///4G9clOUxjBwcG88847GBsbU7t2bby8vNiwYQMDBgwoVDnZYwaqV69e6DpMnTpV/z1ptVqSkpKwsrLCyOjxcX316tWpXLkyH3/8MYsXL8bS0pLZs2dz/fp1YmNjDdJ+//33TJw4keTkZHx9fdm1axdmZjn7cWabN28ew4YNo2LFipiYmGBkZMQPP/yAn58fAAEBAfTp04fGjRtjYWHBypUrsbS0ZMSIEaxYsYKFCxcyb948HBwcWLJkCbVq5VwcRwghhCiQrEz4vhncfTBGr/ob0Hl+nsmjTt4i8Z7upVrj1z0xNc/90XfR/sukaLRYmBqzyCeD++NWA2Di5sbJ1z3h8hkAmrk1K8aLeX5IC0MZc+XKFTQaDU2a/Bu02Nra4uvrW6Tydu/ezWuvvYa7uzvW1tb07duXu3fvkpKiGzB04cIFg3MBObYflyY5OZnLly8zePBgg7ftgYGBXL582SBfo0aNCn0NcXFxbNq0iT59+uj39enTp0jdkhRFKXSebE5OTnh7e+t/vLy8DLa9vb3zzGtqasqmTZu4ePEi9vb2qNVq9u3bR/v27XMEHL179yYsLIz9+/fj4+ND9+7dSUtLy7PsefPmcfToUbZu3cqpU6eYNWsWI0eOZPfu3fo0kydP5tKlS5w9e5auXbvy1Vdf4e/vj6mpKYGBgRw6dIghQ4bQr1+/In8/QgghyjhtFixq+W+w8FIPeCfv7seZGVn69RbsnNU0aFcl13RrT1zl298uAPBBC3fSpnyqO2BkRJWVK7ifEadP28SlSS4lvPikhaEEnJ+ad1cYo0eawU595p93QYpCRuq/3WIOffRsNYPFxMTwxhtvMGLECKZNm4a9vT2HDh1i8ODBZGRkoFbnXAilKLJbGn744QeaNm1qcMzY2Nhg29Iy58wIj7N69WrS0tIMylYUBa1Wy8WLF/Hx8cHGRjc4Kj4+Pkf+uLg4fSuUj48PAJGRkTRvXrhp14YPH86qVavyTfPo+JCHNWzYkPDwcOLj48nIyMDR0ZGmTZvmCKJsbW2xtbWlWrVqNGvWjPLly/Pzzz/Ts2fPHGWmpqbyySef8PPPP/P667qWrJdeeonw8HBmzpyJv3/Of7+RkZGsWrWKsLAwli1bhp+fH46OjnTv3p1BgwaRmJiItbV1Qb4SIYQQQicrE4LbwD8PZvar1Q3eXJJvlkMboki4k4a5pSlvftgg165IV++m8MmmswBYljOmw/7VJD74W28/YABmlSrRNLEpoddCqWBegQoWFYr1sp4XEjCUALVZwb/W/NJqtVoyUotWbl68vLwwNTXlxIkT+oGo8fHxXLx4Ud/FJDdmZmY5ZtI5deoUWq2WWbNm6d9ir1+/3iCNr68vJ06cMNj36HZ2moffPj+cxtnZGTc3N65cuULv3r0LcbUFExwczPjx43N0P3rvvfdYtmwZX3/9Nfb29jg4OHDq1Clatfp3OrWEhAQuXbqkDxTatm2Lg4MDM2bM4Oeff85xrri4uDzHMTxJl6SHZQcvUVFRnDx5ki+//DLPtIqioCiKfgzEozQaDRqNJkcdjI2N0Wq1uZb37rvvEhQUhJWVFVlZWfrZqrL/m9+MTEIIIUSuLu2GG2G6z1X/A28vyzOpoijsWRnBhaO6lZlfG1Aj1ylUAaZtP4/2QeeAIe4KiUGbATCuUAHH0aMA+OXKLwD0rlH8zyDPCwkYyhhra2v69+/PhAkTsLe3x8nJiS+++AIjI6NcI+9sHh4eREdHEx4eTsWKFbG2tsbb2xuNRsO8efPo2LEjhw8fzjE//6hRo/Dz8yMoKIiOHTuyd+9eduzYYXCuUaNGMXToUBo1akSLFi1Yt24df/zxB15e/852MGXKFEaPHo2trS3t2rUjPT1dP9B23LhxRf4+wsPDOX36NCEhITnGHfTs2ZOpU6cSGBiIiYkJ48aNY/r06Tg7O9OsWTPu3r3Ll19+iaOjo37chKWlJUuXLuXtt9+mU6dOjB49Gm9vb+7cucP69eu5evUqa9fmvvqkk5MTTk5OgC5gSEhIwMbGpsABw4YNG3B0dKRy5cqcPXuWMWPG0KVLF/3g5CtXrrBu3Tratm2Lo6Mj169f5+uvv8bCwoIOHf5d4r569ep89dVXdO3aFRsbG1q1asWECROwsLCgSpUq7N+/nx9//JGgoKAcdVi6dCmOjo76dRdatmzJ5MmTOXr0KDt27KBmzZr5DvwWQgghDGi1cPMPWN8XUMC9EfT+X75Zzh+6oQ8WPF6qgEed3Gc1OnMtjt/O6WZPsipnQo/yyWT3I3D98kuMzM1JTE/k/F3dYOhaDmV4DJ4i8hUfH68ASnx8fI5jqampyvnz55XU1NQSOXdWVpZy//59JSsrq1jLTUhIUHr16qWo1WrFxcVFCQoKUpo0aaJMmjQpzzxpaWlKt27dFDs7OwVQli9friiKogQFBSmurq6KhYWFEhAQoPz4448KoNy/f1+fd8mSJYq7u7tiYWGhdOnSRQkMDFRcXFwMyp86dari4OCgWFlZKYMGDVJGjx6tNGvWzCBNSEiIUq9ePcXMzEwpX7684ufnp2zatElRFEWJjo5WACUsLCxH3R+u76Pef/99pWbNmrkei42NVYyMjJQtW7YoiqIomZmZyty5c5U6deooarVaqVixotKjRw8lOjo6R94TJ04ob775puLo6KiUK1dO8fb2VoYNG6ZERUXleq5HFeXef/fdd0rFihUVU1NTpXLlysqnn36qpKen64///fffSvv27RUnJyfF1NRUqVixotKrVy8lMjLSoJxHv6/Y2FhlwIABipubm2Jubq74+voqs2bNUrRarUG+mzdvKlWqVFH+/vtvg/1TpkxR7O3tlerVqyvHjh177HWU9O/Vsy4jI0PZvHmzkpGRUdpVEU+Z3PuyS+59LrRaRTkYpCghPRQlqLaifGGjKMvaK4omPd9siffSlIXv71Pmv7tH+f69vUpqYu7fqVarVdoEhSpVPtqmVPlom3J67zHlfM1aynnf6sqNTz/Vp2u/sb1Se0VtpfaK2kpyRnKxXmJp3/f8nnEfpVKUJxilWQZkz5IUHx+v78eeLS0tjejoaDw9PQ2msywuRXnLXBTJycm4u7sza9YsBg8eXGLnyTZ06FAiIyM5ePBgnmnatGmDi4sLP/300xOdKzo6Gh8fH86fP0+1atWeqKyn6Wnd+2dRSf9ePes0Gg3bt2+nQ4cOmJqalnZ1xFMk977sknv/CK0Wdv4Xjv673hJqB3h3P9hWzDOboihsm3+Gq+fuAVCntTt+7+Q+qcuOs7GMCNFNKT/QTUu/gz+RdvYs6iZNqLx8GSpjYxRFod5P9dAqWipbV+bXN38tvmuk9O97fs+4j5IuSWVQWFgYkZGRNGnShPj4eKZOnQpA586dS+R8M2fOpE2bNlhaWrJjxw5WrlxpsOhaSkoKixYtIiAgAGNjY9asWcPu3bvZtWvXE597+/btDBs27LkKFoQQQogyS1Hg1w/g1Ip/96krQL/N+QYLoOuKlB0sqG3MaNkt97/9GZlaPtqoW5vB2kjhnXUzSLt7B0xNcftqOqoHE6pcS7yGVtGN1+tctWSekZ4XEjCUUTNnzuTChQuYmZnRsGFDDh48iINDyaxcePz4cWbMmEFiYiJeXl7MnTuXIUOG6I+rVCq2b9/OtGnTSEtLw9fXl40bN+Y6A09hjRw58onLEEIIIcRTcjLYMFhwqQPdf8xzFedscbdSOLDuon779ZEvYWyaewv9n3/Hk5CmW4htQdoxtHfvAGDfrx+m7u76dEdjj+o/t6rUirJMAoYyqH79+pw6deqpne/RmZMeZWFhYTCnvxBCCCHKoJMr4Nfx/27X6Q5dF4GRcZ5Zsp3e+RfaTF0v+9qt3XGqknsXG0VRmL49AoC3bJJx/GkjYDgrUradMTv1n73t8l4LqSwoW52jhRBCCCHEsychFn59aNbD5u9D18UFChYAeDD5okk5I15+K/euSIqisOxQNCf/uo+pksXg0GW6LlCAy+efY1SunEHac3fPAWBvbo9xQevxgpIWBiGEEEIIUXqS/oHNw0F5sE5PuxnQ7N0CZ79w7CYRh2IBaNmtGsYmub8P3xz2N1/+qmtdmBb/O1z9CwCLBg2wbtvGIG10QjRJGt1iqWW9dQEkYBBCCCGEEKVBUeCvw7DpXUi4DkYm8HoQNOxfoOyajCwOrrtI5O+6YKFmS1dqveKWa9r4FA3/3fwnAJXjb1Jn/xYAVBYWVJz7XY61qBRFwcLEgtTMVN7yeauoV/jCkIBBCCGEEEI8XYoCGwfDn5sABcp7wlvLwL1BgYvYv/qCfoG2KrUr0Kp39TwXoR215jQpGboWjBHq2/quSO7fzcEkl0lfzt89T2pmKuXLlecV91cKeXEvHgkYhBBCCCHE06PVwpoeEPVgULGlEwz6P7B2KXARF47e1AcL1hXM8R9YEyOj3IOFHWdjORClmwnpP84m1P9pAwpQ4b33sPbzyzXPyVsnAWjp3hIrM6sC1+tFJQGDEEIIIYR4OrRZ8GMXiDmg267gDUP3grltgYtIS9Kw9yfdWATTcsZ0HlsPc8vcFz67nZjG+A1nALDLTOOTsF/QpKRi5l0Vx/dG5JonLi2Og9d1i8u2dG9Z4Hq9yCRgEEIIIYQQJU9RYH3/f4MF13owcDuYWRaiCIWtc8PRZum6FHUcXQ9bR3Wead9fHUZKRhYqRUvwsQVo/okFIyMqzp2LyiT3x+Dt0dv5J/UfAPwq5t4CUdbItKqiQCZPnky9evVKuxol6vr165iZmVG7du0cx2JiYlCpVISHh+c41rp1a8aOHWuwLywsjLfffhtnZ2fMzc2pVq0aQ4cO5eLFiznyF5dbt24xYMAA3NzcUKvVtGvXjqioKIM0aWlpjBw5kgoVKmBlZUW3bt24detWnmVqNBo++ugj6tSpg6WlJW5ubvTr148bN27o06Snp9O3b19sbGzw8fHJsabGt99+y6hRox4tWgghRFmiKLB1FET+otuu4ANDdhcqWAA4s+ca/1xNBKDGy664Vs27ZeJWQhpnrsUBMOTvI6j/0Q2Oth80kHJeeS8Et/faXgBszWyxMct9PYeyRgIG8cLSaDSFSr9ixQq6d+9OQkICx44dK/J5t23bRrNmzUhPTyckJISIiAhWrVqFra0tn332WZHLzY+iKHTp0oUrV66wZcsWwsLCqFKlCv7+/iQnJ+vTffDBB/zyyy9s2LCB/fv3c+PGDd588808y01JSeH06dN89tlnnD59mk2bNnHhwgU6deqkT7NkyRJOnTrF77//zrBhw+jVqxfKg8Fk0dHR/PDDD0ybNq1ErlsIIcRz4vZ5CF+t+2zpBEN2gXHu3YjykpGeye+bLwNgbmVKq3d8800/f98l0jO1uKfH8ebprQAYOzrg9MEH+eYLvx0OQM0KNQtVvxeZBAxlUHJyMv369cPKygpXV1dmzZqV61vybCtWrGDKlCmcOXMGlUqFSqVixYoVAAQFBenfPleqVIn33nuPpKQkg/w//PADlSpVQq1W07VrV4KCgrCzszNIExgYiJOTE9bW1gwZMoRJkyblaNFYunQpNWrUwNzcnOrVq/P999/rj2W3AKxbt45WrVphbm5OSEhIgb8TRVFYvnw5ffv2pVevXgQHBxc478NSUlIYOHAgHTp0YOvWrfj7++Pp6UnTpk2ZOXMmixcvLlK5jxMVFcXRo0dZuHAhjRs3xtfXl4ULF5KamsqaNWsAiI+PJzg4mKCgIP7zn//QsGFDli9fzpEjRzh69Giu5dra2rJr1y66d++Or68vzZo1Y/78+Zw6dYqrV68CEBERQadOnahVqxYjR47kn3/+4c4d3eCyESNG8M0332BjI29ohBCizIo9A8va69ZZUFeAYaFgYVfoYo5viUabqaAygk5j6uW53oJWq7DySAyrjl7FSNGyMGy5bqA14PbVV6iM816ELT49nvSsdADqO9cvdB1fVBIwlISM5Lx/NGmFSJtasLSFNGHCBPbv38+WLVvYuXMnoaGhnD59Os/0PXr0YPz48dSqVYvY2FhiY2Pp0aMHAEZGRsydO5dz586xcuVK9u7dy8SJE/V5Dx8+zPDhwxkzZgzh4eG0adMmx9vmkJAQpk2bxjfffMOpU6eoXLkyCxcuzJHm888/Z9q0aURERDB9+nQ+++wzVq5caZBu0qRJjBkzhoiICAICAgr8nezbt4+UlBT8/f3p06cPa9euNXgzX1C//fYbd+7cMfgOHvZooPSw4cOHY2VlhZWVFTY2NlSsWBEbGxv9PiurvGdpSE/X/c/N3Nxcv8/IyIhy5cpx6NAhAE6dOoVGo8Hf31+fpnr16lSuXJnff/+9wNcYHx+PSqXSX0vdunU5dOgQqamp/Pbbb7i6uuLg4EBISAjm5uZ07dq1wGULIYR4gST9o1tjYWkbSI8HS0d49wDYuhe6qFsxCZzZew2A1r2r41jJOtd0iqLQe+lRpm7TrdL83bXtmN78G4AKw4Zi9fLL+Z5nY9RG/ee+NfoWup4vKhn0XBKm575oCADV2kLvDf9uf+sNmpRck6qqtISuq//dMacOpNzNmXByfIGrlpSURHBwMKtWreK1114DYOXKlVSsWDHPPBYWFlhZWWFiYoKLi+GUZw+3Snh4eBAYGMjw4cP1b//nzZtH+/bt+fDDDwHw8fHhyJEjbNu2TZ9v3rx5DB48mIEDBwLw+eefs3PnToOWii+++IJZs2bpu894enpy/vx5Fi9eTP/+/y7wMnbs2Hy72OQlODiYd955B2NjY2rXro2XlxcbNmxgwIABhSone8xA9erVC12HqVOn6r8nrVZLUlISVlZWGBk9Pq7PfvD/+OOPWbx4MZaWlsyePZvr168TG6vrs3nz5k3MzMxyBC3Ozs7cvHmzQHVMS0vjo48+omfPnvpWg0GDBvHHH39Qs2ZNHBwcWL9+Pffv3+fzzz8nNDSUTz/9lLVr11K1alWWLVuGu3vh/1AIIYR4zlw/BT92gowHf8srN4e3VxRq6tRsN6/Es2PRHwBY2ppRo4VrnmlDjv7F71fuAdAt9iTep0MBMPPywvExXZEAfo76GYAK5hVkOtWHSMBQxly+fJmMjAyaNm2q32dvb4+vb/79APOye/duvvrqKyIjI0lISCAzM5O0tDRSUlJQq9VcuHAhxxvmJk2aGAQMFy5c4L333suRZu9e3aCj5ORkLl++zODBgxk6dKg+TWZmJra2hoOdGjVqVOhriIuLY9OmTfo38QB9+vQhODi40AFDdt/9onBycsLJyQnQBQwJCQnY2NgUKGAwNTVl06ZNDB48GHt7e4yNjfH396d9+/ZPVKeHaTQaunfvjqIoBi1ApqamLFiwwCDtwIEDGT16NGFhYWzevJkzZ84wY8YMRo8ezcaNGx8tWgghxIvk1ArY9gEoum5A1OgI3ZaBiVmhi0pPzWTr3HA0aVmYmBnR7aNGeS7OFn0nmcm/nAegHFkMvrwHACMrKzzWrM4zX7a0zDT+SvgLgFoOtQpd1xeZBAwl4ZMbeR9TPdJvbsKlPJMqCpD60MDdsWefrF7FLCYmhjfeeIMRI0Ywbdo07O3tOXToEIMHDyYjIwO1Ovdpzgoru6Xhhx9+MAh0AIwf6YdoaVm42RYAVq9eTVpamkHZiqKg1Wq5ePEiPj4++rfp8fE5W3Pi4uL0gYuPjw8AkZGRNG/evFD1GD58OKtWrco3zaPjQx7WsGFDwsPDiY+PJyMjA0dHR5o2baoPolxcXMjIyCAuLs6gleHWrVs5Wo4elR0s/PXXX+zduzffMQn79u3j3LlzLF26lAkTJtChQwcsLS3p3r078+fPz/c8QgghnnN7psLBWQ82VNDua2g2vEhFKYrCtvln0KTpVmj2e8cHa3vzXNNmaRUGrThBplb3kmxJ/H5Ud/4BU1Mqr1yBsW3B1nkwVhmTqWTyVrW3ilTnF5UEDCWhMFOE5ZdWqzUMGAo59VhuqlatiqmpKceOHaNy5coA3L9/n4sXL9KqVau8q2lmRlZWlsG+U6dOodVqmTVrlv4t+Pr16w3S+Pr6cuLECYN9j25np+nXr1+uaZydnXFzc+PKlSv07t27EFdbMMHBwYwfPz5Ha8J7773HsmXL+Prrr7G3t8fBwYFTp04ZfE8JCQlcunRJHyi0bdsWBwcHZsyYwc8//5zjXI8+rD/sSbokPSw7eImKiuLkyZN8+eWXgC6gMDU1Zc+ePXTr1g3Qte5cvXo13+AmO1iIiopi3759VKhQIc+02dO2hoSEYGxsTFZWlr6FQ6PR5Pg3JIQQ4gWRkQwbBkLUb7ptI1PovR6q/qfIRZ7cHsPNy7oXdZ4vVaBGi7y7fI9bH070Hd3Yw+HE4LRvOwAV58zGolbBWgu2XdlGppJJBfMKtKqU9zNRWSQBQxljZWXF4MGDmTBhAhUqVMDJyYn//ve/j30o9fDwIDo6mvDwcCpWrIi1tTXe3t5oNBrmzZtHx44dOXz4MIsWLTLIN2rUKPz8/AgKCqJjx47s3buXHTt2GDQLjho1iqFDh9KoUSNatGjBunXr+OOPP/B6aI7kKVOmMHr0aGxtbWnXrh3p6emcPHmS+/fvM27cuCJ/H+Hh4Zw+fZqQkJAc4w569uzJ1KlTCQwMxMTEhHHjxjF9+nScnZ1p1qwZd+/e5csvv8TR0VE/bsLS0pKlS5fy9ttv06lTJ0aPHo23tzd37txh/fr1XL16lbVr1+ZalyfpkgSwYcMGHB0dqVy5MmfPnmXMmDF06dKFtm3bArpAYvDgwYwbNw57e3tsbGwYNWoUzZs3p1mzZvpyqlevzldffUXXrl3RaDS89dZbnD59mm3btpGVlaUf72Bvb4+ZmWHz8pdffkmHDh2oX183s0TLli2ZMGECAwcOZP78+bRsKStmCiHECylq17/Bgpkl9FoPHvkPMM7PX3/e5fgv0QAYmaho3adGnmm//e0CW8J1vTtaZd2i8y+61my7d3pg/WC8ZkHsv7YfgACPAIxUMi+QAUXkKz4+XgGU+Pj4HMdSU1OV8+fPK6mpqSVy7qysLOX+/ftKVlZWsZabmJio9OnTR1Gr1Yqzs7MyY8YMpVWrVsqYMWPyzJOWlqZ069ZNsbOzUwBl+fLliqIoSlBQkOLq6qpYWFgoAQEByo8//qgAyv379/V5lyxZori7uysWFhZKly5dlMDAQMXFxcWg/KlTpyoODg6KlZWVMmjQIGX06NFKs2bNDNKEhIQo9erVU8zMzJTy5csrfn5+yqZNmxRFUZTo6GgFUMLCwnLU/eH6Pur9999Xatasmeux2NhYxcjISNmyZYuiKIqSmZmpzJ07V6lTp46iVquVihUrKj169FCio6Nz5D1x4oTy5ptvKo6Ojkq5cuUUb29vZdiwYUpUVFSu53pUUe79d999p1SsWFExNTVVKleurHz66adKenq6QZrU1FTlvffeU8qXL6+o1Wqla9euSmxsrEGah7+v7O81t599+/YZ5Dt79qzi7e2tJCUlGVzHiBEjFBsbG6Vx48YFuv6S/r161mVkZCibN29WMjIySrsq4imTe192Pff3Pv6GosxrrChf2Oh+rp96ouJuxcQr37+3V5n/7h5l/rt7lL/O3ck7bUKqUm/Kb0qVj7Yp1T7aokQ0bKyc962uRDRoqGSlpRX4nJfvX1Zqr6it1F5RW/n9xu9PVP+CKu37nt8z7qNUilJMIyJfUAkJCdja2hIfH5+j33ZaWhrR0dF4enoaTGdZXIrylrmoWrduTb169ZgzZ06Jngdg6NChREZGcvDgwTzTtGnTBhcXF3766acnOld0dDQ+Pj6cP3+eatWqPVFZT9PTvPfPmpL+vXrWaTQatm/fTocOHTA1LdyiRuL5Jve+7Hpu731qHPx1GH4dD4mxUM4G+m8Ftydbv+D/lpzl8ul/AGjWtSoNA6rkmbbz/EOcuR6Po1U5foz5HxzStRK4z5uLTZs2BT5n4NFA1l1YhwoVx3ofw8LE4omuoSBK+77n94z7KOmSJErczJkzadOmDZaWluzYsYOVK1caLLqWkpLCokWLCAgIwNjYmDVr1rB792527dr1xOfevn07w4YNe66CBSGEEOKZdy8alrfXBQoAVi7QbzM45d11qKAyUjMBKO+qpkHbyrmmSc3I4uew65y5rhvj8H2VRFilCxYsX3mlUMECwIHrBwCoZF3pqQQLzxsJGESJO378ODNmzCAxMREvLy/mzp3LkCFD9MdVKhXbt29n2rRppKWl4evry8aNGw0WGCuqkSNHPnEZQgghhHhAUeBEMOyYqFu5GcCzFXRfCRbln7j4mLN3uBZxH4DGr3vmORXq9O0RrDqqmwI1wEGL1TefA6BSq3GfPbtQ58zIyiA2WRf4vO71elGr/kKTgEEAEBoaWmJlPzpz0qMsLCzYvXt3iZ1fCCGEEMUgSwNre0HUzgc7jODlD+C1z+AxaxwURMSRGxzdcgWASjXtqdbIOdd0u87f4qcHwYK5MXy4dxGZmbpWCfdZMzG2Ktyskvuu7tN/HlBrQBFq/uKTgEEIIYQQQuQvNQ5WvgE3H6wJZW4Lvf8HlZoUS/F3riWy96dIUMDCypSAIblPhXr1bgpj14Xpt2e9ZEbmzzEAWLZsifWrrxb63KHXQwEwMzJDbVo8a0i9aCRgEEIIIYQQeVMU+OnNf4MF51owaCeUsyqW4jUZWfwy7wwooDKCjmPqUk6dcxBwcnomg1aeIDld1xXq7ZoV8Pl+EplaLeb16lFp4fc58hRE+O1wAKraVS3yNbzoytb0K0IIIYQQouASb8GydnDjlG7b4xUYsrfYggWAnUv/JCUhA4DGb3jiWCnnjD1arcLE//3BpdtJAHipYUTYBjJv3cLI2ppKC79H9cjaQAWhVbTcS7sHwMvuRV834kUnLQxCCCGEEMJQeiL8/j2cXA5JsbqVm9tMhWYjimW8Qrbzh24Q88ddAJyqWNOwnUeu6dafvMavZ3UDk1WKwpzjS0m/dAEA1+nTMClftAHXMfExpGSmANDVu2uRyigLJGAQQgghhBD/un4KVneHlDu6bVM1DNmt64pUjJLj0gldrXvoN7MwofPY+hgZ5R6M3EvO0H9eYRSO6YNgwaZTp0JPofqwTVGbAGjo3JBKNpWKXM6LTgIGIYQQQgihc2QB7Pwv8GBdX2s3GLoXbFyL/VR7f4pA0erO02F4bcwscn8s/d+p68z4TRcgfOoYh9MPIQCYuLri9vVXRT5/emY6/7v4PwDqOz3ZYnMvOgkYhBBCCCHKOkWBdX0h8pd/9zUequuGZFb8Mwdp0rO4+7duPIJjZSvcfe1zpLn8TxJf74hk1/lbAPSqWZ6Xgz7VhTJmZnisW4vKqOjDcddfWE9yZjIAvWv0LnI5ZYEMei6DWrduzdixYx+bzsPDgzlz5pR4fUrTV199hbGxMd9++22OY5MnT6ZevXo59sfExKBSqQgPD9fvUxSFJUuW0LRpU6ysrLCzs6NRo0bMmTOHlJSUEqv/nj17aNGiBdbW1ri4uPDRRx/p56J+uG4zZ87Ex8eHcuXK4e7uzrRp0/It9969e/Tu3RsbGxvs7OwYPHgwSUlJ+uMxMTH4+flhaWmJn58fMTExBvnfeOMNNm7cWGzXKYQQogTF34Dv6v4bLJiUg94b4fWZJRIsAPy+6RLJcRmUszSh46h6OY5fu5dCj8W/64OFVtUcGPK/b1DS0gBwnTIFUyenJ6rD1itbAXBWO+Ng4fBEZb3oJGDIw4IFC6hZsyaNGzcu7aqIAsrIyHh8okcsW7aMiRMnsmzZsic6d9++fRk7diydO3dm3759hIeH89lnn7FlyxZ27tz5+AKK4MyZM3To0IF27doRFhbGunXr2Lp1K5MmTTJIN2bMGJYuXcrMmTOJjIxk69atNGmS/7zZvXv35ty5c+zatYtt27Zx4MABhg0bpj8+fvx43N3dCQ8Px9XVlQ8//FB/bN26dRgZGdGtW7fivWAhhBDFLy1Bt75CnG4hNNwawkdXoZp/iZxOm6Xl5PYYzu7/G4D/9KmBhbXh7EbxqRq6L/6dO0m6v+tNPe35NvUk6RcvAmDp9//s3Xd0FNUXwPHvllTSCAkJgUDovfciRZHQq6AU6U0BEbAAP1FEUZQqigLSEaSJgAJSAqF3QpBOQocUQkhvm935/bGwIYaSQJJNuZ9zcs7Om7czd7Mpc3feu+81nLp0fqU4FEXh6sOrADT0aPhKx8oXFPFckZGRCqBERkam2RcfH69cuHBBiY+Pz5Jz6/V65eHDh4per8+0Y/br10/BODDR9HX9+vU0/Zo1a5amn6IoSlhYmPLOO+8oHh4eio2NjVKlShVl9erVqZ4bFRWl9OrVS7G1tVXc3d2VWbNmKc2aNVNGjx5t6nPv3j2lbdu2irW1teLl5aWsWrVKKVGihDJ79mxTn4cPHyqDBg1SXFxcFHt7e6VFixbKmTNnTPu/+OILpXr16sqvv/6qeHl5KSqVKkPfC19fX6Vo0aJKUlKS4uHhoRw6dCjV/sfH/6/r168rgOLn56coiqKsXbtWAZRNmzal6WswGJSIiIgMxaUo6XvvJ0yYoNSpUydV25YtWxRra2slKipKURRFuXDhgqLVapVLly6l+9wXLlxQAOXEiROmtu3btysqlUq5e/euoiiKUrFiRWX79u2KoijKtm3blEqVKimKYnzPypQpo9y6dSvd5/uvrP69yumSkpKUTZs2KUlJSeYORWQzee/zL7O99w+uKcqM8oryhYOiTHFRlMM/ZfkpT2y7rvw0zEf5aZiP8uesU2n2GwwGpe/iY0qJT/9WSnz6t9Jqtq8SHZeoXOveQ7lQvoJyuVFjRZ+Q8Mpx+IX4KVWWVVGqLKuiBD4MfOXjvQxz/84/7xr3v+QOQxaI08U98ytRn5juvgnJCenqmxE//PADDRs2ZMiQIQQFBREUFISnZ9qqABs3bqRYsWJMmTLF1A8gISGB2rVrs3XrVs6dO8fQoUN59913OX78uOm5Y8eO5dChQ2zZsoVdu3Zx4MABTp8+ner4ffv25d69e/j6+vLHH3+wcOFCQkNDU/Xp3r07oaGhbN++nVOnTlGrVi3eeOMNwsPDTX0CAgL4448/2LhxY6ohQumxePFievbsiYWFBT179mTx4sUZev5jq1atonz58nTq1CnNPpVKhaOj4zOfa2dn99QvBwcHihUrxnvvvffM5yYmJmJtbZ2qzcbGhoSEBE6dMtbL/uuvvyhVqhR///03JUuWxMvLi8GDB6f6Hv7XkSNHTEOqHmvZsiVqtZpjx44BUL16dXbv3o3BYGDnzp1Uq1YNgI8//pgRI0Y89WdKCCFEDqEo8M9E+KUxRAeBlQP02QgNR2TpacPuRHN8yzXTdpPuZdP0WXLwOvuu3AegUAFLlg2oR9K630k4exaA4iuWo7ayeuVY1l5eC4C1xppSTqVe+Xh5nUx6zgL1V9d/5r7Xir7Gzy1TViJsvq458cnxT+1bx60OsxvMNm23/qM1DxMfpun3b79/0x2bo6MjlpaW2Nra4u7u/sx+zs7OaDQa09j4x4oWLZpq+MmoUaPYsWMH69ato169ekRHR7N8+XJWr17NG2+8AcDSpUvx8PAwPefSpUvs3r2bEydOmC5KFy1aRNmyKX84Dh48yPHjxwkNDcXq0R+GGTNmsGnTJjZs2GAaHpOUlMSKFStwdXVN9/cAICoqig0bNnDkyBEA+vTpw2uvvcYPP/yAnV3GFqO5evUq5cuXz9BzHntWkmMwGIiJiUn1ffsvb29v5syZw++//06PHj0IDg5mypQpAKYE79q1a9y8eZP169ezYsUK9Ho9Y8aM4a233mLPnj1PPW5wcDCF/zMuVKvV4uzsTHBwMGB8L4YNG4aXlxfVqlVjwYIF7N+/nzNnzvDdd9/Ro0cPTp48SatWrZg7dy6WL7GYjhBCiCygT4aFzSDknHHbqTh0Wwyezx+q+sqn1RnY9su/KI+KL1VsUgSXYvap+mz79x5fbb0IgI2Fmg1D62O734d7304DwGXkSKxLZ85qzL63fQGo4lIlU46X10nCIDJEr9fzzTffsG7dOu7evUtSUhKJiYnY2honRV27dg2dTpdqjLyjo2OqC+rLly+j1WqpVauWqa1MmTIUfGLRFX9/f2JiYihUqFCq88fHxxMYGGjaLlGiRIaTBYDff/+d0qVLU716dQBq1KhBiRIlWLt2LYMGDcrQsZTHf/1eQpkyZZ7abjAYiIqKwsEh7WqXj7Vq1Yrp06czfPhw3n33XaysrJg0aRIHDhxA/ahqhMFgIDExkRUrVlCuXDnAeGeldu3aXL58+aUTnaJFi/L333+bthMTE/H29mb58uV8/fXX2Nvbc/nyZVq3bs2CBQsYNWrUS51HCCFEJoq4ZVy1Oco4fwDnUjBkL9g4Zfmpj2wKJPqBceREiSqFaNG7Qpo+PhdTRhosfLcONovncW/FCgDUtra4DB2SKbEcvnuYGJ2xkMfIGiMz5Zh5nSQMWeBYr2PP3KdRa1Jt+/bwffaBFEiKS5nI+0+3f141tFc2ffp0fvjhB+bMmUPVqlUpUKAAH3744UtNOH6emJgYihQpgq+vb5p9Tk5OpscFChR4qeMvXryY8+fPo9Wm/AoYDAaWLFliShgcHByIjIxM89yIiAgA01CjcuXKcenSpZeK40V3M3r37s2CBQueuX/s2LGMGTOGoKAgChYsyI0bN5gwYQKlShlvrxYpUgStVmtKFgAqVqwIwK1bt56aMLi7u6cZHpacnEx4ePgz70p98803tGrVitq1azNkyBC+/vprLCws6Nq1K3v27JGEQQghzO3ydmPZVIPOuF22NfRak6mrNj9L8PVI/H1uA2BtZ8Gbgyqj+s95bz6I5e+zxrvj7asVofKJnYQ8ShYAii9fjiqT7lb/fd34gZerjSu13WtnyjHzOkkYsoCtRfpLkD2vr8FgIImkdPXNCEtLS/R6/Uv1O3ToEJ06daJPnz6mGK9cuUKlSpUAKFWqFBYWFpw4cYLixYsDEBkZyZUrV2jatCkA5cuXJzk5GT8/P2rXNv6iBgQE8PBhynCrWrVqERwcjFarxcvL65Vf85P+/fdfTp48ia+vL87OKXWfw8PDad68OZcuXaJChQqUL1+eO3fuEBISgpubm6nf6dOnsba2Nr2+Xr168c4777B58+Y08xgURSEqKuqZ8xheZUjSYyqVytTv999/x9PT03T3pnHjxiQnJxMYGEjpR7dxrzyqMlGiRImnHq9hw4ZERERw6tQp0/uzZ88eDAYD9eunHW538eJFVq9ebXoter0enc74D0mn06XrZ00IIUQWURT4ZwIc+8W4rVLDm19Do6ydr5ByeoW9K1M+VGv6djmsnligLUGn5+7DOCZsPEdisoHqxRz5yj2K4BEp5b9dx43FpmrmDR3yveULwOvFX8+0Y+Z1kjDkQ15eXhw7dowbN25gZ2eHs7OzaQjLf/vt37+fd955BysrK1xcXChbtiwbNmzg8OHDFCxYkFmzZhESEmJKGOzt7enXrx8ff/wxzs7OFC5cmC+++AK1Wm36NKFChQq0bNmSoUOH8ssvv2BhYcG4ceOwsbEx9WnZsiUNGzakc+fOfP/995QrV4579+6xdetWunTpkmpCbkYtXryYevXqmRKYJ9WtW5fFixczffp0vL29KV++PD179uTrr7/G3d2d06dP89lnnzF69Gg0GuPdoh49evDnn3/Ss2dPPvvsM1q1aoWrqyv//vsvs2fPZtSoUXTu3PmpsbzKkCQw3vFp3bo1arWajRs3Mm3aNNatW2eKrWXLltSqVYuBAwcyZ84cDAYDI0aM4M033zTddTh+/Dh9+/bFx8eHokWLUrFiRVq3bs2QIUOYP38+Op2OkSNH8s4776RJYBRFYejQocyePdt0t6dx48b8+uuvlCtXjhUrVtCzZ8/nvgYhhBBZ6OpOOPGr8bHWGt7dDCUaZNvpVSqV6SaGo5stZeqkzJHTGxRGr/Fj3+X7JCQbsLZQ8019J0KG9ePxZAc7b28KDR6cafHE6eKI1kUD0L1c90w7bl4nVZLyoY8++giNRkOlSpVwdXXl1q1bT+03ZcoUbty4QenSpU3zBD777DNq1aqFt7c3zZs3x93dPc3F8KxZs2jYsCHt27enZcuWNG7cmIoVK6aq6LNixQrc3Nxo2rQpXbp0YciQIdjb25v6qFQqtm3bRtOmTRkwYADlypXjnXfe4ebNm6k+7X+aZcuWpbnV+VhSUhK//fbbM9cI6NatGytWrECn06HVatm5cyfFixenZ8+eVKlShS+++ILRo0fz1VdfmZ6jUqlYvXo1s2bNYtOmTTRr1oxq1aoxefJkOnXqhLe393PjfRXbt2/ntddeo06dOmzdupXNmzenej/UajV//fUXLi4uNG3alHbt2lGxYkXWrFlj6hMXF8fly5dNdwXAWPmpQoUKvPHGG7Rt25YmTZqwcOHCNOdfuHAhbm5utG/f3tQ2efJkEhISqF+/PmXKlGHEiOz5FEsIIcQTFAWO/wqre4AhGRyKwofnsjVZAAi+FsmDu8bVlJv3Km/6/6woCp9t+pcd50NISDYA8L+2FbH/dS7KowVPVQUK4DH162f+T38Z8/3nmx6XK1juOT3Fk1TKq8zYzAceDyeJjIxM82lvQkIC169fp2TJkmnKW2aGJz9lftodgNwiNjaWokWLMnPmzGdOKL5z5w6enp7s3r3bVF3pZX3xxRfs27fvqfMfcou88t6/jKz+vcrpdDod27Zto23btlhYWJg7HJGN5L3PvzL1vVcU8FsFB2bCw0clTCu0N1ZCssi+v6kGg8LDoFj+WXiOiJA4ipRxpOtHKfMFZu28zNw9Aabt5uVd+aVeAW50e8vU5vb5JJx79cq0mBRFodHvjYjRxeBk5cSBdw5k2rFfhrl/5593jftfMiRJZDo/Pz8uXbpEvXr1iIyMNJX6fHJ8/549e4iJiaFq1aoEBQXxySef4OXl9dRhQhm1fft2fvrpp1c+jhBCCJGrRN0zTmy+ezKlrdl4aPYJ/KfoSla7cPAe+36/DAqotSq8B6fMQfjnXHCqZMHD0Zrpb1VHGxWGukABDLGxOHToQMFMHtJ65eEVU3WkQVUzVhExv5OEQWSJGTNmcPnyZSwtLalduzYHDhzAxcXFtF+n0zFx4kSuXbuGvb09jRo1YtWqVZmSYT+5iJwQQgiRL1zdDWv7wOO1nWwKQrelUKZFtocSF5XEkY0B8GgMS/l67hRwMq6pdPNBLB+v90/Vf847NXGx1XJjwIcYYmOxKleOIpO/yNShSAA7b+40PfYukXXDhfMiSRhEpqtZs6ZppeFn8fb2ztKx/UIIIUS+YNDDnqlwcGZKm9dr0GstWL5c6fFXdXDDVZISjBXyNFoVDTqnLLY2Z/dVohOTUavAoEDv+sUpuX0t9wICSDh3DrRaPH/5GfVLlk1/nu3XtwNQyLoQReyKZPrx8zJJGIQQQgghcqvfusI135Rt72+hwXvZsr7C09y+FM7V4yGAsYJrhw9qYOuQsn7Ct12rcjgwjJCoRKoVc2RMwnnuz51r2u/+2WdYFC2a6XHF6mK5E30HgNJOmbNadH4iCYMQQgghRG6TEAl7v0lJFjRW8PZKKGe+u/fJOj2+v6WsuVCrVQmKliuYqs/s3VcIiUoE4NsKKu5/+MR6Cx+OpuA7b2dJbIfvHkZ5NEZqbJ2xWXKOvEwSBiGEEEKI3EJR4NJW2P4JRN01tpVvA+1mg4N5h9mc3nGLqLAEAJzcbanfsRQAMYnJbDx9B5UKFuwzVm6a1NANyynjSE5OBsCidCkKDRuWZbHtub0HAEu1JRWdK2bZefIqSRiEEEIIIXKDuHBY3h5Czhu37T2g3Qyo0M68cT2SrDOYHjfvWR6V2jgsavKW82w4dYfHg6T61yvGG+unEx8aamywsKDoN99k+iTnJz0ejtS6ZGvUqvxVrjwzSMIghBBCCJHTBe6FNb1AZ1zUDOdS0H8rOHiYN64nhFyLBMC5aAGKljcORdrif48Np4wX6wpQ3dOJ9+7sJ/xkSnGUYrNnYVO9epbFpTfouRVtXKS2abFXL9+eH0mKJYQQQgiRUyUnwYbBsLJzSrJQ7R0YeTLHJAuKonDpSBD3rkYA8EZf45Cf2+FxTNz4r6lfcWdbFvSqQcKJE6Y2u5ZvYN+yZZbG98/1fwhPCMdKY8Xrnq9n6bnyKrnDIIQQQgiREwWdheUdICHCuK3WQoe5ULO3WcN6UszDRLb84Ef0A+PcheKVnSlcwoEHMYn0X3qcmETjHAVLjYqFfWvj5mTL3cKFAVBZWlLkiy+yNL5kQzKfH/4cgMYejbHQyCrqL0PuMIgMU6lUbNq0ydxhZKlhw4ah0WhYv359mn39+/enc+fOadp9fX1RqVRERESY2pKSkvj++++pXr06tra2uLi40LhxY5YuXYpOp8uy+NetW0eNGjWwtbWlRIkSTJ8+/amx/vcrODj4mce8fPkyLVq0wM3NDWtra0qVKsVnn32W6nXs2rWLcuXK4eDgwLvvvktSUpJpX2RkJOXKlePmzZuZ/4KFECKvuboblrVLSRY868HoszkqWVAUhX2rL/EwOI5knQG7gla0GlSZyHgdfZccJ/B+rKnv3J41qeDuQMTadURv3QpA0Vkz0bq6ZmmMJ4JPkGQw/i8aXG1wlp4rL5M7DCLPS0pKwtLS8sUdH4mLi2PNmjV88sknLFmyhO7du7/0eb29vfH39+err76icePGODg4cPToUWbMmEHNmjWpUaPGSx37ebZv307v3r358ccfadWqFRcvXmTIkCHY2NgwcuTIVH0vX76Mg4ODabvwo099nsbCwoK+fftSq1YtnJyc8Pf3Z8iQIRgMBr755hsMBgO9evViwoQJeHt789Zbb7Fw4ULTOcePH8/w4cMpUaJEpr9mIYTIM2IfwN6pcHKxcVtrA+1nQ42e5o3rKa4cD+HGvw9M295DqmBla8G5mw+5EhJtap/QpgJNHgYSNHkhkX//DYDzwIFZPhQJYOPVjQBYaayo6lI1y8+XV8kdhnzm77//xsnJCb3euALjmTNnUKlUjB8/3tRn8ODB9OnT56nP9/LyAqBLly6oVCrTdmBgIJ06dcLNzQ07Ozvq1q3L7t27Uz03KCiIdu3aYWNjQ8mSJVm9ejVeXl7MmTPH1OfSpUs0adIEa2trKlWqxO7du9Pc0bh9+zY9evTAyckJZ2dnOnXqxI0bN0z7H98BmDp1Kh4eHpQvXz5D36P169dTqVIlxo8fz/79+7l9+3aGnv/YnDlz2L9/Pz4+PowYMYIaNWpQqlQpevXqxbFjxyhbtuxLHfdFVq5cSefOnRk+fDilSpWiXbt2TJgwge+++w5FUVL1LVy4MO7u7qYvtfrZfxJKlSrFgAEDqF69OiVKlKBjx4707t2bAwcOABAWFkZYWBjvv/8+lStXpmPHjly8eBGAw4cPc+LECUaPHp0lr1kIIfIC1allMKtiSrJQqx98fDVHJgsJMToOrL1i2i5TuzDupRwB0OlTqiW1quTG4LpFCP7ySyLWrEGJicGydGlcR3+QLXEevncYgEqFKmXL+fIqSRiygCEu7tlfiYnp75uQkK6+GfHaa68RHR2Nn58fAPv27cPFxQVfX19Tn3379tG8efOnPv/Eo4lKS5cuJSgoyLQdExND27Zt8fHxwc/Pj9atW9OhQwdu3bplem7fvn25d+8evr6+/PHHHyxcuJDQxyXVAL1eT+fOnbG1teXYsWMsXLiQ//3vf6nOr9Pp8Pb2xt7engMHDnDo0CHs7Oxo3bp1quEvPj4+XL58mV27dvH3o08z0mvx4sX06dMHR0dH2rRpw7JlyzL0/MdWrVpFy5YtqVmzZpp9FhYWFHjGsve3bt3CwcGBYsWK4eDggJ2dXZqvb7755pnnTUxMxNraOlWbjY0Nd+7cSTMcqEaNGhQpUoQ333yTQ4cOZej1BQQE8M8//9CsWTMAXF1dKVKkCDt37iQuLo4DBw5QrVo1dDod7733HgsWLECj0WToHEIIkS/EP6Txlalo//kI9Ilg7Qg9VkLHuWBlb+7onurwnwEkxhnnJ2i0Khq/VYbgyASOXnvAoGUn0OkV6nk581OvWjz4+Wd0d+6Ynus2YQJqK6ssj/Fe9D2ikqIA6Fi6Y5afLy+TIUlZ4HKt2s/cV6BZU4ovWGDavtK4CUp8/FP72tSti9OPKculB7zREv3Dh2n6Vbx0Md2xOTo6UqNGDXx9falTpw6+vr6MGTOGL7/8kpiYGCIjIwkICDBdBP6X66Oxhk5OTri7u5vaq1evTvUnSqJ99dVX/Pnnn2zZsoWRI0dy6dIldu/ezYkTJ6hTpw4AixYtSvUp+65duwgMDMTX19d07KlTp/Lmm2+a+qxduxaDwcCiRYtM9ZqXLl2Kk5MTvr6+tGrVCoACBQqwaNGiDA1FArh69SpHjx5l40bjLcw+ffowduxYPvvsswzXh7569eozE6/n8fDw4PTp08TExGBnZ/fUT/2dnZ2f+Xxvb2/GjBlD//79adGiBQEBAcycORMw3uXx8vKiSJEizJ8/nzp16pCYmMiiRYto3rw5x44do1atWs+Nr1GjRpw+fZrExESGDh3KlClTAOPclnXr1jFmzBhGjx5N27ZtGThwINOmTaNFixZYW1vTuHFjwsLCGDVqVJrhUUIIkS/dOIh2VXdcHldAsi0EvdZDsWdfS5jbvasRXDwUZNru8EENlp+5w/x9gSQlG0hMNlC7REGWDqhL8tkzPFi8xNTXZeRI7Jo0zpY4f/b/GQA1atqXap8t58yrJGHIh5o1a4avry/jxo3jwIEDfPvtt6xbt46DBw8SHh6Oh4dHhofLxMTEMHnyZLZu3UpQUBDJycnEx8eb7jBcvnwZrVab6mK0TJkyFCyYsmT85cuX8fT0TJWI1KtXL9V5/P39CQgIwN4+9ScuCQkJBAYGmrarVq2a4WQBYMmSJXh7e+Pi4gJA27ZtGTRoEHv27OGNN97I0LH+O/wnvbRaLWXKlCEqKgoHB4fnDhN6miFDhhAYGEj79u3R6XQ4ODgwevRoJk+ebDpW+fLlUw3VatSoEYGBgcyePZuVK1c+9/hr164lOjoaf39/Pv74Y2bMmMEnn3wCQJMmTUx3nQCuXLnCihUr8PPzo2nTpowePZo2bdpQpUoVmjZtSrVq1TL02oQQIs8w6GF9f7i4xbSgmaFCR9TdFoKFjTkje6Fr/vdNj4uWd+JARDTTd1w2tZV3s2f5wHrYWmq4/vXXxtWpAaee7+A6ckS2xbnjxg4AqrpWxVpr/YLe4nkkYcgC5U+fevbO/wzJKHfo4DO7GoCYJ4bZlPHZ/cy+GdG8eXOWLFmCv78/FhYWVKhQgebNm+Pr68vDhw+feXfheT766CN27drFjBkzKFOmDDY2Nrz11luphgllhpiYGGrXrs2qVavS7HN9otLCs4b7PI9er2f58uUEBwej1WpTtS9ZssSUMDg4ODy10k9ERAQajcZ07nLlynHp0qUMx3Hr1i0qVXr+WMuJEycyceLEp+5TqVR89913fPPNNwQHB+Pq6oqPjw9gnIfwLPXq1ePgwWf/PD7m6ekJQKVKldDr9QwdOpRx48Y9dbjRsGHDmDlzJgaDAT8/P7p3746trS3NmjVj3759kjAIIfKnmPuwsClE3QNAsbDleLEh1Oo2CbVFzi/7aV/QePGt1qhwbF6EMWtPm/ZVK+bIb4PrY2el5eGatSReSBkF4fpB9sxbANAZdCTojUO7u5bpmm3nzaskYcgCalvbzOlrMMATF9wZOe7zPJ7HMHv2bFNy0Lx5c6ZNm8bDhw8ZN27cc59vYWFhmjT92KFDh+jfvz9dunQBjBf2T05ELl++PMnJyfj5+VG7tvE2a0BAAA+fGGJVvnx5bt++TUhICG5ubgCpPq0GqFWrFmvXrqVw4cKpqvtkhm3btpnmdzx58Xvu3DkGDBhAREQETk5OlC9fnjVr1pCYmIjVE2MwT58+TcmSJbF49Me+V69eTJw4ET8/vzTzGHQ6HUlJSU9NbF51SNJjGo2GokWLAvD777/TsGHDVEnVf505c4YiRYq88LhPMhgM6HQ6DAZDmoRh8eLFODs707FjR9P7/LgEq06nS/MzJIQQ+cKdU7CmJ8SEGLdLv05ytxUE79pj3rjSKTo8gYPrrwJQu50XE/de4fH9dM+CNiwbUA8HawuUpCRCZ882Pa/oj3PRPjGqIKvtu73P9LhDmQ7Zdt68SiY950MFCxakWrVqrFq1yjTGvmnTppw+fZorV6688A6Dl5cXPj4+BAcHmy4Ey5Yty8aNGzlz5gz+/v706tULgyGlSkKFChVo2bIlQ4cO5fjx4/j5+TF06FBsbGxMcwPefPNNSpcuTb9+/Th79iyHDh3is88+AzD16d27Ny4uLnTq1IkDBw5w/fp1fH19+eCDD7jzxISql7F48WLatWtH9erVqVKliunrcUWmx3c1evfujUqlom/fvpw6dYqAgACWLFnCnDlzUiVbH374IY0bN+aNN95g3rx5+Pv7c+3aNdatW0eDBg24evXqU+N4PCSpVKlSlClT5qlfz0sYwsLCmD9/PpcuXeLMmTOMHj2a9evXp6pGNWfOHDZv3kxAQADnzp3jww8/ZM+ePYwYkXKr+Keffko1DGvVqlWsW7eOixcvml7HhAkTePvtt01J0mOhoaF8/fXX/Pjjj4DxZ65ixYrMmTOHI0eO4OPjQ+PG2TOGVQghcoSQ87D2XVjW1pgsOHrCwB3w7p9gkfOHyyiKwolt1/lnYcrKzT4kEBAaA0AhO0tWDW6AcwHjcGBd6H0MkZEAOL7VDYcn5iNmh7239wLgYOmAhTrn37XJ6SRhyKeaNWuGXq83JQzOzs5UqlQJd3f3F5YhnTlzJrt27cLT09P0yfmsWbMoWLAgjRo1okOHDnh7e6eZPLtixQrc3Nxo2rQpXbp0YciQIdjb25sq+mg0GjZt2kRMTAx169Zl8ODBpipJj/vY2tqyf/9+ihcvTteuXalYsSKDBg0iISHhhXccJk+ebCoD+18hISFs3bqVbt26pdmnVqvp0qULixcby9w5OTlx4MABdDodHTt2pEaNGsydO5dZs2YxbNgw0/OsrKzYtWsXn3zyCQsWLKBBgwbUrVuXuXPn8sEHH1ClSpXnxvsqli9fTp06dWjcuDHnz5/H19c31XyQpKQkxo0bR9WqVWnWrBn+/v7s3r07VYIQFhaWal6IVqvlu+++o169elSrVo0vv/ySkSNHsmjRojTnHz16NOPGjcPDw8PUtmzZMtasWUP79u35+OOPqVu3bha9eiGEyEHiwmHTCPilMVzcAskJUKIxDNsPxRuYO7p0u3IsmONbrhN6IxpUULx3aRbsv2baP6N7dYoXMo6E0MfEcmvgQAA0zs64/6fiYVaLSIhgS+AWAIZXH56t586rVMrLzszMJ6KionB0dCQyMjLNBWlCQgLXr1+nZMmSacpYZgaDwfDSE19zgzt37uDp6ZnmQvVJhw4dokmTJgQEBFC6dOlXOl+/fv1QqVQvXSY1O+X19/55svr3KqfT6XRs27aNtm3bprlzI/I2ee/zGEWBM6th+yeQFJPSXv998P4a1CnDOHP6e58Qq+O3SUdMZVTrdyzJg+I2DPvNOGdzRIvSfOxdwdj36lWCJv6PhH//RePkhNf6dVg+mvuWXX49+ytz/YxVJo/2OkoBi4zPa8wO5n7fn3eN+18yh0Fkmz179hATE0PVqlUJCgrik08+wcvLi6ZNm5r6/Pnnn9jZ2VG2bFkCAgIYPXo0jRs3fuVkQVEUfH190zWpVwghhHglUfdgywcQsCulzdYFeq3L0eVSn+XwxpQ1FzwqFsSqWkFGLzgCQNuq7qZkQUlO5vbgISSHhIBKhcf06dmeLABsDtwMgLute45NFnIbSRhEttHpdEycOJFr165hb29Po0aNWLVqVaqsOjo6mk8//ZRbt27h4uJCy5YtTWsIvAqVSvXUykZCCCFEpjLoYVFLiLqb0lbtHWg3E6zszBfXSwq+Hmlac+GatYHD1gkELD9Jgs5AZQ8HZnRPWYPp7iefGJMFwHnQIOxea5Lt8YYnhHMzyvj/vk2pNtl+/rxKEgaRbby9vfH29n5un759+9K3b99sikgIIYTIRHHh4PttSrJgYQvt50D1t80a1ssy6A3sXWksDx6hMrDROhHleiIAbg5WLOlfF1tL46VkxMaNRG/bDoBVuXIUHjvGLDFvu7bN9Lhz6c5miSEvkoRBCCGEEOJVBP8Lp1fCmVUp8xXKtIJOP4K9+/Ofm4NdOHiP8Hux6FFYbZ9oKp+qUcHcd2ri5mCcZxZ/6RJBn00y7tRqKb5sKSozzb9becG4+KilxpKSjiXNEkNeJAmDEEIIIcTLUBTY9Tkc/hEeX047FodWU6ByF7OGlhncSzsSrTJw1DqZ2Ceu/3/uU5v6pQoBkPzwIbfe7WtcOwrwmDEdbTrWCsoKEQkR3Is1LobXu0JvU0l28eokYRBCCCGEyKjrB2HDAIgNTWlrNBpafpGqAlJu9veWqyxxSCRJhTEfUsHH3uXxrpxy1yR86VIM0dEAFOzbF8fWrc0TLLDvTspibb0r9jZbHHmRJAxCCCGEEOkVcQt2fAYXN6e02blD/63gUsZ8cWWi2MhEEhOSmXc9mCQNWCuQoIL3m5dmRIuU16i7e5eI9RsAsKpUCbdPPzFXyABcfngZgLpudXEr4GbWWPIaSRiEEEIIIdIj8i78WAv0ukcNKmjxGTT7yKxhZaZknZ4/Z54mIUbHG4kW7LDV8VCjUM/LmXGtUhZ2VQwG7o2fgP7hQyy9vCixdAkqjXnvrDyev9C+dHuzxpEXScIghBBCCPEiF/+CbZ+kJAtuVaHrAnCrbN64MtnB9QFEhsYD4IkGe4MOx8K2/NqvDhq1cU6ALiSE20OGkHjlKioLC4r+MAeNo6M5w+bs/bOmxy08W5gxkrxJEgYhhBBCiKcJ/hc2vQ8aC7hrXNUYWxd4/TOo3R/y2KTay8eDOb//7uPpCgRq9SQVsmLdwPo42qSsmRT02SQSr1wFoPCE8ViXL//0A2ajr458ZXpc0LqgGSPJm8xT80rkKiqVik2bNpk7jCw1bNgwNBoN69evT7Ovf//+dO7cOU27r68vKpWKiIgIU1tSUhLff/891atXx9bWFhcXFxo3bszSpUvR6XRpjpFZ1q1bR40aNbC1taVEiRJMnz79mX0PHTqEVqulRo0azz3m5MmTUalUab4KFEhZNXPXrl2UK1cOBwcH3n33XZKSkkz7IiMjKVeunCyYJ4TIfQwGODATFjSD4LPGZEGlgYYjYbQ/1BmQ55KFh8Gx7Fx+AYAzlslEqgz4OulZNrAuxQvZmvpF7dhB7IEDAGhdXSnYvbtZ4n1SeEK4af5Cay/zTbrOyyRhEHnOkxet6REXF8eaNWv45JNPWLJkySud19vbm2nTpjF06FAOHz7M8ePHGTFiBD/++CPnz59/6WM/z/bt2+nduzfDhw/n3Llz/Pzzz8yePZuffvopTd+IiAj69u3LG2+88cLjfvTRRwQFBaX6qlSpEt0f/XMwGAz06tWL4cOHc+TIEU6ePMnChQtNzx8/fjzDhw+nRIkSmfdihRAiq0XehV8agc8UUPTGtqJ14P0j4D01V67W/CJ6vYHls06h1sMdjR4HvYoDBRUWDK5HBXeHlH5RUQRN+ty4oVJR9IcfUFlYPOOo2Wf5+eUoj8raDq021MzR5E2SMOQzN27ceOqnxs2bN39qfy8vLwC6dOmCSqUybQcGBtKpUyfc3Nyws7Ojbt267N69O9Vzg4KCaNeuHTY2NpQsWZLVq1fj5eXFnDlzTH0uXbpEkyZNsLa2plKlSuzevTvNHY3bt2/To0cPnJyccHZ2plOnTty4ccO0//EdgKlTp+Lh4UH5DN4aXb9+PZUqVWL8+PHs37+f27dvZ+j5j82ZM4f9+/fj4+PDiBEjqFGjBqVKlaJXr14cO3aMsmXLvtRxX2TlypV07tyZ4cOHU6pUKdq1a8eECRP47rvvUBQlVd/hw4fTq1cvGjZs+MLj2tnZ4e7ubvoKCQnhwoULDBo0CICwsDDCwsJ4//33qVy5Mh07duTixYsAHD58mBMnTjB69OjMf8FCCJFVDv4AP1SD+8a/ZVg5QocfYYgPuJp/2E1W+WHJGSyikklAIV6l4GihYcG4xtT1Sr2eQsi07zBERQFQsE8fbGvVNEe4afwV+BcA7rbulC2YNf9r8ztJGLKALlH/zK9knT79fZPS1zcjPD09U31i7OfnR6FChWjatOlT+584cQKApUuXEhQUZNqOiYmhbdu2+Pj44OfnR+vWrenQoQO3bt0yPbdv377cu3cPX19f/vjjDxYuXEhoaEq9ar1eT+fOnbG1teXYsWMsXLiQ//3vf6lfs06Ht7c39vb2HDhwgEOHDmFnZ0fr1q1T3Unw8fHh8uXL7Nq1i7///jtD35PFixfTp08fHB0dadOmDcuWLcvQ8x9btWoVLVu2pGbNtH9ALSwsUg3ledKtW7ews7NL9eXg4ECxYsVwcHDAzs6Ob7755pnnTUxMxNraOlWbjY0Nd+7cSTUcaOnSpVy7do0vvvjipV7fokWLKFeuHK+99hoArq6uFClShJ07dxIXF8eBAweoVq0aOp2O9957jwULFqAxc8UMIYRIl/gIWNkVdn8OhmRjW+3+8NFlqN3XnJFluQX7Atl2KYSbWj0PNAZKKVpGTKifahgSQOzxE0Ru3AiAysYGl+HDzBFuGgEPA7gffx+AhkVe/GGYeDky6TkLLBy975n7SlQpRPuR1U3bSz4+QHKS4al9Pco60mJQadP2iv8dJiEm7Tj4EfNfT3dsGo0Gd3fjgisJCQl07tyZhg0bMnny5Kf2d3V1BcDJycn0PIDq1atTvXrK6/jqq6/4888/2bJlCyNHjuTSpUvs3r2bEydOUKdOHcB4wfnkp+y7du0iMDAQX19f07GnTp3Km2++aeqzdu1aDAYDixYtMq3YuHTpUpycnPD19aVVq1YAFChQgEWLFmFpaZnu7wXA1atXOXr0KBsf/RHs06cPY8eO5bPPPsvwCpFXr1595p2a5/Hw8ODMmTOp2gwGAzExMdjZ2aFWq3F+zqqZ3t7ejBkzhv79+9OiRQsCAgKYOXMmYLzL4+XlxdWrVxk/fjwHDhxAq834r31CQgKrVq1i/PjxpjaVSsW6desYM2YMo0ePpm3btgwcOJBp06bRokULrK2tady4MWFhYYwaNYqRI0dm+LxCCJHlbh4xLsAWHWTcLlgSui8DjxrmjCpb/Ol3h2+3XwIL8Eg2UD/RglrexXFxS/sBV+h304wPVCqK/fQj2kKFsjnap1t2fpnp8ZDqQ8wXSB4nCUM+NnDgQKKjo9m1axdqdcZuNsXExDB58mS2bt1KUFAQycnJxMfHm+4wXL58Ga1WS61atUzPKVOmDAULplQuuHz5Mp6enqkSkXr16qU6j7+/PwEBAdjb26dqT0hIIDAw0LRdtWrVDCcLAEuWLMHb2xsXFxcA2rZty6BBg9izZ0+6xvk/6b/Df9JLq9VSpkzqxX4MBgNRUVE4ODi88L0ZMmQIgYGBtG/fHp1Oh4ODA6NHj2by5Mmo1Wr0ej29evXiyy+/pFy5ci8V459//kl0dDT9+vVL1d6kSRPTXSeAK1eusGLFCvz8/GjatCmjR4+mTZs2VKlShaZNm1KtWrWXOr8QQmS6e2dg41AIM06Wxc4d2kyHyh3NGlZ2evxvyzVZRf1E41yEmm+mnXcWf+YMCY+qIhV8tw92jRtnW4wv4nvHFwAXGxc87T3NG0weJglDFhj6Q7Nn7lP959pv4PTXntlXUQzEJcSatvtObfTKsT329ddfs2PHDo4fP57mYjw9PvroI3bt2sWMGTMoU6YMNjY2vPXWWxmecPwiMTEx1K5dm1WrVqXZ9/juB/DM4T7Po9frWb58OcHBwak+ddfr9SxZssSUMDg4ODy10k9ERAQajcZ07nLlynHp0qUMx3Hr1i0qVar03D4TJ05k4sSJT92nUqn47rvv+OabbwgODsbV1RUfHx8ASpUqRXR0NCdPnsTPz8/0Kb/BYEBRFLRaLTt37uT1159/l2rRokW0b98eN7fnr5w5bNgwZs6cicFgwM/Pj+7du2Nra0uzZs3Yt2+fJAxCCPNLioV/JsLp5fBooizlWkOX+WCTf8pxrjtxm682nKVRgpa6emOyUKVpUaztUk9iVnQ67n06HnQ6bOvUofC4ceYI96kURcFWa0tkYiRdy3Y1dzh5miQMWcDCKv3jtp/X12BQQcLLHfd5/vjjD6ZMmcL27dspXbr0C/tbWFig16eeK3Ho0CH69+9Ply5dAOOF/ZMTkcuXL09ycjJ+fn7Url0bgICAAB4+fJiqz+3btwkJCTFdiD75aTVArVq1WLt2LYULF8bBwYHMtG3bNqKjo/Hz80s11v7cuXMMGDCAiIgInJycKF++PGvWrCExMRErKytTv9OnT1OyZEksHlWI6NWrFxMnTsTPzy/NPAadTkdSUtJTE5tXHZL0mEajoWjRogD8/vvvNGzYEFdXVwwGA//++2+qvj///DN79uxhw4YNlCxZ8rnHvX79Onv37mXLli3P7bd48WKcnZ3p2LGj6X1+XEpWp9Ol+RkSQohsZdDDiUWw63NIfvTPVa2FN76ARqPyXJnUZ/G9HMrKIzfxuRRK8wQtdR/dWdBYqKnfqVSqvvqICO6M/pCkmzdBrcZj+veon/g/aG4XHlwgKNY4lOyd8u+YOZq8TSY95zPnzp2jb9++fPrpp1SuXJng4GCCg4MJDw9/5nO8vLzw8fEhODjYdCFYtmxZNm7cyJkzZ/D396dXr14YDClzMSpUqEDLli0ZOnQox48fx8/Pj6FDh2JjY2OaG/Dmm29SunRp+vXrx9mzZzl06BCfffYZgKlP7969cXFxoVOnThw4cIDr16/j6+vLBx98wJ07d17pe7F48WLatWtH9erVqVKliunrcUWmx3c1evfujUqlom/fvpw6dYqAgACWLFnCnDlzGPfEJy0ffvghjRs35o033mDevHn4+/tz7do11q1bR4MGDbh69epT43g8JOm/X6VKlTI9fl7CEBYWxvz587l06RJnzpxh9OjRrF+/3lSNSq1Wp3p9VapUoXDhwlhbW1OlShVTEvPTTz89dRjWkiVLKFKkCG3atHlmDKGhoXz99df8+OOPABQsWJCKFSsyZ84cjhw5go+PD41z0C1sIUQ+c2UnzKoA2z9JSRY8asHIk9D4g3yTLGw8fYcBy07gcykUawPU1qXcTajYsAjWBVK2FUXh7iefEnfsGABu/5uIRZEi2R7z82wJNH6Q1dyzOa62ri/oLV6FJAz5zMmTJ4mLi+Prr7+mSJEipq+uXZ99K2/mzJns2rULT09P0yfns2bNomDBgjRq1IgOHTrg7e2dar4CwIoVK3Bzc6Np06Z06dKFIUOGYG9vb6roo9Fo2LRpEzExMdStW5fBgwebqiQ97mNra8v+/fspXrw4Xbt2pWLFigwaNIiEhIQX3nGYPHmyqQzsf4WEhLB161a6deuWZp9araZLly4sXrwYME74PnDgADqdjo4dO1KjRg3mzp3LrFmzGDYspUqElZUVu3bt4pNPPmHBggU0aNCAunXrMnfuXD744AOqVKny3HhfxfLly6lTpw6NGzfm/Pnz+Pr6ppkP8iJhYWGp5oWA8U7HsmXL6N+//3MrHo0ePZpx48bh4eFhalu2bBlr1qyhffv2fPzxx9StWzdjL0oIITJD4F7YOBhiHlXpsykEvdbD0L3g/Pw7rHnJ5jN3+Wi9v2neQgdbO9SPPuezcbCgQZfUIw4iN20mdv9+ADTOzhTs2TM7w32h7de3sylgEwDNij17KLjIHCrlZWdq5hNRUVE4OjoSGRmZ5gI1ISGB69evU7JkyTRlLTNDRia+5gZ37tzB09OT3bt3P3NC8aFDh2jSpAkBAQHpGi71PP369UOlUr10mVRzymvvfUZk9e9VTqfT6di2bRtt27Y1DXcT+YO895koOhjuX4F938HNg8Y2ldo4/KjBe6DNOcNqIOvf+3UnbvPpxrOmZMHT3pp3w7To4o1DRbuMq4lH2ZT5G0m3b3OtfQeUxERj/4ULsHtG+XVz6fFXDy6GG9fL2NZ1W66c8Gzu3/nnXeP+l8xhEFlmz549xMTEULVqVYKCgvjkk0/w8vJKtebDn3/+iZ2dHWXLliUgIIDRo0fTuHHjV04WFEXB19eXgwcPvurLEEIIkVskxcL+GXD4R1AMj1ZqVkGVrvDaR+D2/AITedGxaw+YsPFfU7JQspAt/ytVlMu7jMN6vaoVSpUsKIpC0GeTTMmCQ+fOOS5ZCI4NNiULNV1r5spkIbeRhEFkGZ1Ox8SJE7l27Rr29vY0atSIVatWpcqio6Oj+fTTT7l16xYuLi60bNnStIbAq1CpVE+tbCSEECIPMujhzCrYOQkSIlLaSzSGjj9CoVf7ECq3ik1MZvCKk+gfZQvVizmypGct1n9xHDBO3Wj6TuoVrKP+3mqatwDgMjTnrW1w6O4h0+Pqhas/p6fILJIwiCzj7e2Nt7f3c/v07duXvn3z9iqaQgghstCDQFjXF0LOpbRZ2kGLz6D+MMhnwzqfdOrmQ2ITjStXv1bWhZ961YKYZAwGYwJRoVER7J1Thn4qOh2hT3xoZ/9mS6xKpa6clBM8nrsA0KdiH/MFko/k398iIYQQQuRu1/bBz/VTJwv134OPA6Dhe/k6WdhzKYTBy09iUKBJmUKsGFgPRxsLbl94gGJQsLbT0qxn6rsLKgsL7L1bGTesrSn86admiPz54nRxnLl/BoCWxVviVuD56wOJzCF3GDKBzBsXIvPI75MQIl2i7sGx+aA3rveCezVoPweK1TZrWOYWGaej+4LDXA2JQQEalS7Eon51UalU6HUGDm80VsOr2NADjTZ1QqXodET99TcABd96C8tixbI7/Bc6GnTU9LhHuR5mjCR/kYThFTwuM5mUlISNjY2ZoxEib4iLiwOQKjFCiLT0Ori+H0LOw/7pkBgFqOD1SdBkTL6+owDwMDaJdnMPcC/SuNZEl5oefNu1GtYWGm5fDGfPiovoEvVYWGmo5V3C9LzksDASLl4ietcu9OHhYGGB66iR5noZzxWRGGF63MCjgfkCyWckYXgFWq0WW1tb7t+/j4WFRaaXvzQYDCQlJZGQkJDvSmvmd/nxvVcUhbi4OEJDQ3Fycnruug9CiHwmORGO/ARHfoa4sJT2wpWh/WwoXt98seUQD2IS6frLYVOy0LmGB7PfNq6dZDAo7F9zhZiHxspHddp6YW1n/FBGSU7m7thxxB0/bjqWx7ffonF0zOZXkD6nQk4BUMetjmmRV5H1JGF4BSqViiJFinD9+vUsqcijKArx8fGpVkcW+UN+fu+dnJxwd3c3dxhCiJzixiH46wN4EJDSprGG1ydCgxGgkUuZsJhE2s49QGiUMSGoWtSRWT1qmPZfPhZMRIjx7q2lrYYaLVPKkEZu+StVsuDYpQuO7dtlT+AZFKeLY8eNHQD0qtjLzNHkL/Jb9oosLS0pW7YsSUlJmX5snU7H/v37adq0qQzPyGfy63tvYWEhdxaEEEbxD2HXF3B6eer24o2gyy9Q0MssYeU0odEJtJt7kPvRxmShWlFHVg6qj1pt/LDJYFA4uinQ1L9F74qoNcY714peT9j8+aZ9NtWrU2TKl9kYffopisLUY1NJ1CdSwKIAzT2bmzukfEUShkygVquzZEVajUZDcnIy1tbW+eqiUch7L4QQ/N4Tbh1J2dbaQKuvoO5g4wICAoD+S06YkoXGZQqxYmB9NOqU70/AyRDiIo0fapau6UKZ2oVN+yK3bUN365Zxw9qaonNmo8qh/3MuhV9iS+AWAF4v/joW6pwZZ14lCYMQQgghcg69DnZMTEkWVBqo0QtafQ02TmYNLafZ5HeXC0FRAHhXdufn3rVSJQuKQTFVRQJo2LVsyj6djpApX5m2i373HRZFimRD1C/n90u/mx73q9TPjJHkT5IwCCGEEMK8DHo4tsBYASnIH6LvGdsrdIA3JoFr+ec/Px/yvx3BR+v9AfCu7Mb8PrXSzHm7ejKE2Ajj3QfnogVwdE2p6Hhn7FgM0dHGfUOG4PB4/YUcKNmQzPbr2wEoZleM8s7y85Dd8kXC0KVLF3x9fXnjjTfYsGGDucMRQgghxGPB/8LGYRB6PqXN2gm8v4Gavc0WVk721d8XWHviNskGhZrFnZjbs+ZTC2SEB8UaH6ig60cp61PoY2KI9zsDgGWpUriNG5sdYb+0I/eOkKA3Vn9qVypnTsjO6/JFvcbRo0ezYsUKc4chhBBCiMeS4uCfCbDgtdTJQv334cN/JVl4hl98A1l88DoxickUKmDJr33rYKVNWywiPjoJf5/bANTvUBIrG+NnxIqicGfUKPRhYahsbSmxfFl2hv9SVlxIuYar617XjJHkX/niDkPz5s3x9fU1dxhCCCGEAAjYAxsHQ9yDlLZCZeGdVTL86BkMBoWp2y6y+OB1U9ust2vgYmeVpq+iKJzcfoPkJAOOhW2o1drLtO/BkqXEHTkKWi3FFy5A6+qaHeG/tHsx90yrO3s5eFHPvZ6ZI8qfzH6HYf/+/XTo0AEPDw9UKhWbNm1K02fevHl4eXlhbW1N/fr1Of5EvWAhhBBC5CLB/8Kqt1KShQIu0OlneO+wJAvPkJRs4MO1Z1IlCz/1qkmzck+/2L/uH8bZPXcAaNCptKnEavTevdyfPh0A58GDsK1TJ4sjf3V7bu0xPf6i4Rf5bm2inMLsdxhiY2OpXr06AwcOpGvXrmn2r127lrFjxzJ//nzq16/PnDlz8Pb25vLlyxQubCwNVqNGDZKTk9M8d+fOnXh4eGT5axBCCCHEC+gSjNWPTq8ARW9sazACXv8fWBYwb2w5WIJOz5AVJzlwNWWF62+7VqV9tWdf3xxcdwUAC2sNpWsZkwp9TAx3xxjnKqgKFMB11KgsjDrzXIu8BoBGpaGOe85PcPIqsycMbdq0oU2bNs/cP2vWLIYMGcKAAQMAmD9/Plu3bmXJkiWMHz8egDNnzmRaPImJiSQmJpq2o6KM5cp0Oh06nS7TzpMej8+X3ecV5ifvff4l733+lWff+4QoNH8ORhV+DVXEDQAMReug954GRWoY++S115xBz3vv//a/Z0oWtGoVX3eqRLeaRZ75cxJyPYrocON1TK02xU0fqAZ/NgklwThxuMismegNBvQGQ6a/lswUnxzP+ivrAfiywZd57nfD3L/zGTmv2ROG50lKSuLUqVNMmDDB1KZWq2nZsiVHjhx5zjNf3rfffsuXX6Zd5XDnzp3Y2tpmyTlfZNeuXWY5rzA/ee/zL3nv8688894rBoqHH6DqnVWoDcYLVZ3KitNewwl2rAV+94xfwuS/731CMiy6rAbUqFAYWE6PTbA/27b5P/MYIYdtAQ0qtcKd+LPc3XYWi9BQvP75BxUQW64cvhERsG1bVr6UTLE/Yb/pseGCgW0Xc37ML8Ncv/NxcXHp7pujE4awsDD0ej1ubm6p2t3c3Lh06VK6j9OyZUv8/f2JjY2lWLFirF+/noYNGz6174QJExg7NqW8WFRUFJ6enrRq1QoHB4eXeyEvSafTsWvXLt58801Z7Tefkfc+/5L3Pv/KS++96vZRNH+NRPXwhqnNUKQGdP+NWvbuZosrp/rve5+o03MvMoHBK09zKyoegFndq9G+2vMXVosKi2fN9pMAlG/gTtN25QC42akTOgCtliorllO9QM4fAnYt8hqfbf0MgIbuDWn/enszR5T5zP07/3gUTXrk6IQhs+zevTvdfa2srLCySltxwMLCwmx/wM15bmFe8t7nX/Le51+5+r2PDoENA+DmoZQ2jRW0/BJ1/WGo1WavtZKjWVhYcDcyiQ/W+HE5OJrEZAP2Vlrmv1ubxmVcXvh8n6V+xgcqqN+xNBYWFkSs34DumnGytMuwYVg7OWXhK8g8i88vNj0eXXt07v2dSAdz/c5n5Jw5OmFwcXFBo9EQEhKSqj0kJAR3d/mEQgghhMgxru2DLaMg4qZxW20BdQdDs0/A1tm8seUSp29FMHDFKWITjZPC7a00bHivEeXd7V/43PB7sdy/FQNAlWZFsXOyRlEUQn/4AQCtqysuI0dkXfCZKDIxkl03jcN0PAp4UNmlspkjEjk61be0tKR27dr4+PiY2gwGAz4+Ps8cUiSEEEKIbPQgEPbPgBUdHyULaqjUBT4JhDbTJFlIp+vRMGB5SrIA8EufOulKFgBu/GucGK3WqGjSrSwA4YsXow8ztnv+ujDXlCRdfn45yYpxsnb7UnlvKFJuZPY7DDExMQQEBJi2r1+/zpkzZ3B2dqZ48eKMHTuWfv36UadOHerVq8ecOXOIjY01VU0SQgghhBmEXYU/BkOQP6AY28q0hA5zwbGoWUPLba6GxPDLBQ2JBmOyYKVV8WvfujQp++JhSAAJMTqO/20cdlT5taJoLNToQkO5/8NcAJwHDMC6QoWsCT6TRSZGplrZuV+VfmaMRjxm9oTh5MmTtGjRwrT9eMJxv379WLZsGW+//Tb379/n888/Jzg4mBo1avDPP/+kmQgthBBCiGwQ/9CYKAQ8MT/Q0g7afAc1ekMu+RQ7pwiNSmDob6dJNBi/bzYWalYNaUCt4gXT9XzFoHB0SyB6nQG7gla81qMsiqJws3cfFJ0OjbMzLiNyx1AkgD+u/EGi3lgWtnWJ1jhYZm/BGfF0Zk8YmjdvjqIoz+0zcuRIRo4cmU0RCSGEECINRYHdX8DhH0F5VL9fpYHq74D3N2DjZNbwcquIOB2hMUkAaNXwc+/a6U4WAM4fvMv5/cbytDXeLI5KrSJ01ix0t28D4DZxAhq7nF8V6bF1V9YBYKWxYlKjSWaORjxm9oRBCCGEEDnc/cuw+E1IiHzUoIISjaDHSihQyKyh5WaR8TrGrj9DUrIxAfu5V01aVCic7ucrisKxzcahSDb2FlRtXozkyEgeLF4CgLZIERzb5545AAbFgOFRMtq9XHe5u5CDSMIghBBCiKfTJ8OppeDzFSQ+Shbcq0PP32Wewis4eu0BNx/EsejANa6GxmBnpaVPqURalHfN0HGCrkaQEGtcrbdhl9Ko1Srufvop6I1zITwXzM/02LPS5oDNBMUGoVapGVBF5qrmJJIwPMO8efOYN28eer3+xZ2FEEKIvESvg12fw6VtEHHD2Fa4ErSbBSWkSuGr+OdcEO+vOo3h0WhsC42KJX1rEXTucIaPtXfVZdPjcvXcSQgIJMZ3HwD2bdpgXa5cpsScHXR6HesvrwegS5kuFLZN/50WkfUkYXiGESNGMGLECKKionB0dDR3OEIIIUT2OLcJ/hoFiY9WgdXaQvNPoMEI0FqaNbTcbuf5YEas8jMlC4XtrVg1uD5eztYEncvYsaLC4okIiTMep4Q9Gq2awH6PKgqp1bhP+TITI896887M498H/wLQr7JURsppJGEQQgghBIQFwro+EHohpc3GGd4/CvZSmfBVGAwKP+0NYPauK48L0FKusB2/D21AITsrdDpdho+57/eUuwstB1QibOlS9A8eAOD87rto7dO3fkNOoCgKG65uAMDZ2hkvBy/zBiTSkIRBCCGEyM8So2HT+3DxL0zrKdgUgtcnQe1+oM7Ra7zmeInJesas9Wfbv0GmtoalnFk6oB7WFpqXOmZ8dBK3zocDULySMwXdC3Bt8xYALDw9cZsw/tUDz0Z/X/ubyEdzZPpV7pdrFpjLTyRhEEIIIfKr6BBY0QnuXzRuq9RQvRd0mAMaC7OGllcsP3wjVbLQqpIb8/vURq1++Yvi4GvGi2uVCt7oX4loHx8SL10CtZriS5e+cszZbdapWQDYaGzoWaGnmaMRTyMJgxBCCJHfJETB1Z3w14eQFG1sK/06dJwHjh5mDS0vSdDp2XrWmCyoVfBdt2p0r+P5SsdUFIWDGwIAKFXTFWsLPTcXLATAqkJ5LIvlrupV58LOERYfBsCbXm9io7Uxc0TiaSRhEEIIIfKLsADY9hHcOgrJ8ca2QmWgywIoVse8seUxNx7E0H/JCW48iMPeWsv8PrVpXMbllY/rt/MmUfeN712T7mUJ+nIyCWfPAlDshx9e+fjZbcm5JabHnUp3MmMk4nkkYRBCCCHyutgw2PuNcU2Fx6s0A9TqB22+Bwtr88WWxyTo9Iz/4yzbzwWTmGxAq1ZlWrLw4F4MR/68BkDpWq5YJkUS9WjugnW1alh6vtrdi+wWmRjJnlt7AChgUYA67pK05lSSMAghhBB5lS4ejv4M+6cbHz9WqAy8tRSKVDNfbHnQw9gkev16lIvBxmFeNhZq/nivMZU8MmfFYp/lxrkmKjU061me4LEjQTFOVC/yzdRMOUd2SkxORKPWoNfr6V2hN2qVTLDPqSRhEEIIIfKi6BD4tTlE3UtpU1tC+5lQ813jjFmRae5GxNN38TEC78cCYG2hZv3wRpmWLNy5/JD7N42JSMlqLnDvOrEHDhh3WlpiXaZMppwnO804OYMkfRLF7IoxvMZwc4cjnkMSBiGEECKvURS4fRxiH6S0VXkLWn4BTsXNF1ce5X87goHLTvAgNgkAB2sta4c1pGKRzEkWDAaF3ctS1seo0rQYwROHmrZL/bEhU86Tnc6HnWf7je0A/K/B/7BQS1WunEwSBiGEECIvCLkA+74zrp2w63MINq6ai6U9eE81totM53MxhPdXnSYx2Tg3pIClhlWDG2RasgBw+2I4sQ8TAbC00eAUfoE7588DYN+mDVZly2baubKDoigM3jkYgJIOJWlStImZIxIvIgnDM8ybN4958+ah1+vNHYoQQgjxbNHB4DMFzqwGFLiwKWVfvaHQ4n9g42Sm4PK+Ow/jTMmCRgXLBtajajHHTD3H+QN3TY8bdilD2I/jjBsaDe4TJ2TqubLD2bCzxOhiAOhQuoOZoxHpIQnDM4wYMYIRI0YQFRWFo2Pm/uILIYQQrywxBg7NhUOzQZ+Uel+p5tBmOriWM0to+cX8fYFM234JgCIOVvw2pAGlXe0y9Rx6nYF7VyJM26U8krjp7w+AfevWaF1dM/V82WGB/wLT4zeKv2HGSER6ScIghBBC5DYnlsCuSZAUk9JmWQC8XoPm48Gjpvliy+MSk/WMXetPXFIyey/fB6BDdQ+mda1KAavMv6xSa1XoH93BqNy0KBGLfwXAokRxin43LdPPl9UCIwI5cNc4WbuMUxlKOZUyc0QiPSRhEEIIIXKL6BDY/z2cXgl645h21FqoPcCYKBR49Vr/4tl0egPDVpzC98p9U9v7zUvzsXd5VFlUderO5YckJxkThjLRx4j8808AXEeOQqXNfZdxM07OMD3+oOYHZoxEZETu+0kTQggh8pvbJ+D8H3ByKSQnGNss7eC1sVBnkMxRyAbJegNDV5w0JQtatYpp3arxVu1iWXbO+JgkLhw0lsUtX1JP9BzjHQVVgQI4tG+XZefNKpfCL3Hw7kEASjmWokXxFmaOSKSXJAxCCCFEThVxG7aMhGu+KW0u5aDOQOOX1spsoeUnyXoDQ1eeMg1B0qpVrB7SgHolnbP0vL6/XebamfugKBTZPtNYLlelwmvN71l2RyMrTTueMoTqswafmTESkVGSMAghhBA5TUIk7JwEfitBMTxqVEGrqVB/KGikZn12iU7QMWjZSY7fCAegsL0VywbUy7QF2Z4l8n4c188aExS3+Cuog28C4DxwANa5rIwqgN6g51TIKQDqu9enrntdM0ckMkISBiGEECKHUBmSUe/7Fo7OSxl6BODVFHosB9us/URbpPXNtoumZKGIozXbR7+Gk61llp/30IYAY65o0FPebyEA2qJFKfzRR1l+7qyw8+ZO0+OpTaaaMRLxMiRhEEIIIXKCuAc0CvwOTczllLbClaDDXPCUT2PNISwmkQ2n7gDgaKNl4/uNsiVZeBgcy3X/MAA87/mi1RmTR89ffs6VQ5EMioHPD30OQNeyXXEr4GbmiERGScIghBBCmFP8Q7iyE+2OibjEGS8SKehlXHCtanfIhReIud3FoCi0ahiy4hQ6vYKFRsXmEU0o4miTLee/cCgIMN5xKnVtCwBOb7+Ndbncua7GWN+xJOiNSc+wasPMHI14GZIwCCGEEOYQegk2DoHgswCogESNHZrO89BW6SSJgpnsvRTKoOUnMCjG7cL2VizuVxcvlwLZcn693sClw8aEQaNPxKC2RGtlgduk3DlJOCw+jL239wLGykgedh5mjki8DEkYhBBCiOwUGwZr34Vbh1PaVBr09d9jd1xVWlVoJ8mCmaw6dpPPNp1DeZQs1CnhxOy3a+LpbJttMdy7GkFCrA4Ag0qDRXIcnr8uQZ0L11wA+PnMzxgeTdyf3HCyeYMRLy13/vQJIYQQuY0uHv4cDhc2A4+uSDWWUG8otPgfBpUFydu2mTXE/EpRFGbtvMKPewNMbd1qFWVmjxrZHotHGSfT40qXVqIuUIACDRtmexyZIU4Xx5bALabtmm6yAnluJQmDEEIIkdVCLsCi141JAwBqqNkb2s9OKZGq05ktvPxMURQmbznP8iM3TW1daxZlRvfqZonnwsF7WCZGUCZgIy5h/hT9daFZ4sgMu2/uJvHRiuTvVnzXzNGIVyEJwzPMmzePefPmodfrzR2KEEKI3CoxBg79AEd+TkkWyraCzr9AARfzxiYA+GLLeVY8kSyMbFGGj7zLmyWWmIcJnN5xg7IBG3G7fwq1nR0FmjQ2SyyvSlEUfvH/BQCtSsu7lSRhyM0kYXiGESNGMGLECKKionB0dDR3OEIIIXKT4HOwaThEBYGp8lFJaD8LSr9u3tiEyV/+99jifw8wTjpfMqAuLcoXNkssumg1v39xAouESArfP4UCOA8ZnCvLqAIcuneIOzHGkrQdy3SkiF0RM0ckXoUkDEIIIURmSYiCPwbB1ZRFqrAvAm9OgSrdQK0xX2wilYX7A/lm2yXT9spB9WlS1nx3fWJuW6AoYBN/n8cpgsvQoWaL51VZqFNWIx9aLfe+DmGU4YRh7969tGjRIitiEUIIIXIngx58phiHHz2e0Ky2gEYjodmnYJE99fvFi+n0Bt5ecITTtyIAqF2iID+8U4NiBbOvEtJ/GfQKcXeMF9jFb/ugAC7Dh+fauwsAmwM2A1DVpSpF7YqaORrxqjKcMLRu3ZpixYoxYMAA+vXrh6enZ1bEJYQQQuR8igI7/gcnF0NyQkp7+XbGeQo2MqQ1J0lM1tPt58OcuxcFwNt1PfmmS1U0avNemN889wBFr0KTHE+h8HOoLSxwHf2BWWN6WfHJ8Ry+d5i/rv0FwJjaY8wckcgM6ow+4e7du4wcOZINGzZQqlQpvL29WbduHUlJSVkRnxBCCJEzhV+DZe3h6LyUZMG9Grx/HHqulmQhh4lP0vPmrP2mZKF+SWe+zQHJAsCpbcZJ10WCjqBWDLiOHZNr7y78evZXPtz7IQBvlniTuu51zRuQyBQZThhcXFwYM2YMZ86c4dixY5QrV473338fDw8PPvjgA/z9/bMiTiGEECJn0MUbhx790gRuHgSVBpxLw3tHYfgBKGyeCjvi2WITk3lz1j5uhccBULWoA6sG10edA5KF2MhEwu8Z47KNC0Lt5EShAQPMHNXLCXgYwOJzi03bE+pNMGM0IjO90qTnWrVq4e7uTqFChZg2bRpLlizh559/pmHDhsyfP5/KlStnVpxCCCGEeSkKnF4OOyZCUqyxrYArDNwBhUqbNzbxTAm6ZLzn7OdOhLGsbbVijqwd2hCtJsOfmWaJY5uvGR8oCh7Bxyk85XPzBvSSkvRJjNozyrSq89eNv8bV1tXMUYnM8lK/LTqdjg0bNtC2bVtKlCjBjh07+OmnnwgJCSEgIIASJUrQvXv3zI5VCCGEyH76ZDixGGaWh79GpyQL5dvCaH9JFnK4AUtPcuehMVnoWqsom0c0xsYy51SrSojVgUGPffQtNDaWOHXubO6QXsqKCytMZVQrFapEpzKdzByRyEwZvsMwatQofv/9dxRF4d133+X777+nSpUqpv0FChRgxowZeHh4ZGqgQgghRLa7tBU2DoWkmJQ2G2do+z1UlQ/Gcro/Tt3hyLUHALSq7MasHjXMG9B/JCfpiffdTYMrm9CrLSg4ZDAqC4sXPzGHSdQnsvjflKFIkxpMMmM0IitkOGG4cOECP/74I127dsXKyuqpfVxcXNi7d+8rByeEEEKYhaLAto/hxK8pbU7FoflEqP4O5NIJqfmFwaDw+4lbfLbpHAA1PR2Z+05NM0eV1vWTdyl1aQPWiQ8xqDU45tLRGRuubCBGZ0yqW5VoRRWXKi94hshtMpww+Pj4vPigWi3NmjV7qYCEEEIIswq9CH8MgZB/jduWBaDbYijfxrxxiXQ5eDWMkb+fJiJOB0CnGh7M7lEjR0xw/q8zS/ZS0LkyzuHnia9XBY1j7qystenqJgDsLez5vGHunIMhni/Dcxi+/fZblixZkqZ9yZIlfPfdd5kSlBBCCJHt7vnD/Nfg5wYpyUK9ofDJTUkWconFB6/TZ/ExU7LwVu1izHk7ZyYLt09cJ1TryZVyPYgr4E74G6+bO6SXcjvqNpceGlfM/va1b3G0yp1Jj3i+DCcMCxYsoEKFCmnaK1euzPz58zMlKCGEECLbxEfAis6wsCkEnzW2edSEnmug7XTQ5r4x5fmN3qAwect5vvr7gqmtXTV3vu9WLceuZ3Bq+WEAHCMCKNXtNQw2uXM18HH7xgFQq3AtmnnK6JK8KsNDkoKDgylSpEiadldXV4KCgjIlKCGEECLLGfTGqkdnVsGjUpBoraDZeGgyRuYp5BLxSXpGr/Fj54UQU9s7dT2Z1q2aGaN6vqTYRIITC4IGCj68QqHBk8HX19xhZdiK8yu4GH4RFSom1p9o7nBEFspwwuDp6cmhQ4coWbJkqvZDhw7lqcpI8+bNY968eej1enOHIoQQIrOdXQ9/f5i6+lGlTtB5Pljami0skTF6g0Kfxcc4dfOhqe2t2sX4tmtVM0b1YscX7EWvscYm/j7lazmhts19P3Nxujhmn54NQFmnspR3lgUL87IMJwxDhgzhww8/RKfT8frrxvF2Pj4+fPLJJ4wbNy7TAzSXESNGMGLECKKionDMpZOQhBBC/EdcOOz4H/ivTmkr3gh6rAA7WWQqt9GoVbjYpVRs7F2/OFO75OxkQVEUzl81rgNhExuC58jBZo7o5Xx64FOSDckAfNHoCzNHI7JahhOGjz/+mAcPHvD++++TlJQEgLW1NZ9++ikTJsgS4EIIIXKgpDgI2A2b3oekaGNbgcLQ9Vco3dysoYmMS9Yb0GrUjP/jLDvOBwPGOwtfdcr55TwDj90hWTEmDCUrO2JZrCg6nc7MUWXMzhs78b3tC0Bd97pUc825w79E5shwwqBSqfjuu++YNGkSFy9exMbGhrJlyz5zTQYhhBDCbBQFTq+Af8aDLs7Y5ugJ7WZBuVbmjU28lC3+9/hpz1WKOdmy53IoAEOblmJ86wo5shrSk5TkZPyW+IK6KCpDMnUnvG3ukDIsKTmJzw8ZS6faWdjx4+s/mjkikR0ynDA8ZmdnR926dTMzFiGEECLz3DoGfwyEyDspbZU6Q8e5YC1DTXMbRVH4ZV8g3/9zGYArIcb5J4OblGRi24rmDC3dHqxeQ4VDM7Eu0Qab+g3QWFubO6QMG39wPLHJsQBMbzqdAhYFzByRyA4ZThhiY2OZNm0aPj4+hIaGYjAYUu2/du1apgUnhBBCZFj4DfhzCNw+ntJm5QCvfQRNRpstLPHykvUGJm0+x+/Hb5va1CqY1rUaPep6mjGyjAmaMw+tPhGVoqf5/9qbO5wMuxl1k103dwHQvFhzmhRrYuaIRHbJcMIwePBg9u3bx7vvvkuRIkVybH1jIYQQ+UxClLHy0bk/Utq01tDif1B/mLFkqsh1YhKTGbHqNPuu3E/VPqtHDTrXLGqmqDIubM9Bbrs2onDISfRla6DVaswdUoYtO7cMAEu1Jd83/d68wYhsleGEYfv27WzdupXGjRtnRTxCCCFExp1ZDTsnQVyYcVuthZp9ofU3YJE7F8QSEBKVQP+lJ7gYFIVaBQbF2D6ze/VclSwA+C/4h+sl2xHk3oDX+rxm7nAyTKfXseHqBgC6l++Ojfxe5SsZThgKFiyIs7NzVsQihBBCZMztE/D3GAj517itUqcsvKa1NG9s4pVp1Sqi4nVo1Cr0j7KFj73L0612MTNHljGJt25zR1sGAI0WytRxM3NEGWNQDCzwX2DaHlVzlBmjEeaQ4YThq6++4vPPP2f58uXY5sKFRoQQQuQB8Q9h0wi4vDWlrVY/aD4BHIqYLy6RqQwK3I2IB8DWUsOsHjVoXcXdzFFl3NVvfibG/k1UBj1l2tbI8dWc/mvGiRmsvLgSgI/qfCQTnfOhDCcMM2fOJDAwEDc3N7y8vLCwsEi1//Tp05kWnBBCCJGKPhmOzAOfyaA8UXSj/RyoM8BcUYlMtO6EcWJziUK2jPrdz9S+ZWQTyhS2M1dYL00XEkrgbQ14gqUuknrtSpo7pAy5F32P3y7+BkBx++L0rtjbzBEJc8hwwtC5c+csCEMIIYR4gcvbYcsoiH1i8mvJZtBtEdgVNl9cIlMYDArTd17mF9/AVPMVLDQqfuldO1cmCwAxZ85yt2hTAIrU9MLS+qUr2pvF4F2DUVBQoWJ56+Vo1bkrfpE5Mvyuf/GFLP8thBAiGyVGw8Ef4MAM4NFVpEpjvKtQu685IxOZJD5Jz9h1Z9h+zrhq8+Nkwd3BmjVDG+DlkjuHwCgGAycXH0BxbgaKQot+uWtF5J/9fuZ2tPGOz7Bqw3CxdTFzRMJcXipNjIiIYMOGDQQGBvLxxx/j7OzM6dOncXNzo2jR3FW1QAghRA4V9wB2fQEXtkBipLHN0g7emAR1BoHG4vnPF7lCaHQCQ1acwv92BCqVcXFugO61izG1S1UstWrzBvgKHq5eTajKOMHZ3tkSW8fcMxH/woML/HL2FwA87TwZUXOEmSMS5pThhOHs2bO0bNkSR0dHbty4wZAhQ3B2dmbjxo3cunWLFStWZEWcQggh8gu9DrZ9DKdXgKJPaW8zHeoMNJaZEXnCpeAoBi07yd2IeDQqFXpFQaWCsS3LMeqNsuYO75XoQkIImfoNJQpWJNbWnWpv1DF3SBny2cHPAFCjZnnr5WaORphbhtP2sWPH0r9/f65evYr1E0uat23blv3792dqcEIIIfIRRYGjv8A0Tzi11JgsqNTQ+EP4XwjUHyrJQh7je/k+dyPi0aqNyYJGreKX3rVyfbIAEDTpc1AU7GLuoNfaUrJ67hnOczfmLlcjrgLQp1IfXAu4mjkiYW4Z/st74sQJFixYkKa9aNGiBAcHZ0pQQggh8pn7V2Bpm5SF1wBKNIa3loJ97qpZL9LP8dEE4GSDQgFLDcsH1qOOV+5f60l3/z6xBw4Qb+3M7aLNMGgscXTNHaXoFUVh4oGJAFioLBhRQ4YiiZdIGKysrIiKikrTfuXKFVxdJQMVQgiRAYkxsKYnXH/iDrWdG7z9G3jWM19cIkvoDQqLD16jd/0SLDt8g+k7Lpv2bRv9GiUK5c7Jzf8VNPF/6DTWHK33BYpKTeO3ypg7pHT758Y/nA41lsj/tum32FrkjkRHZK0MJwwdO3ZkypQprFu3DgCVSsWtW7f49NNP6datW6YHaC7z5s1j3rx56PX6F3cWQgiRcdHBsKIT3L9k3FapjfMU6g02b1wiS8QkJvPB737suRTKskM3uBeZAEBlDwfWD2+IrWXeGG6WFBRE7IED3C/SCOVRCdLKTXNHQZjNAZuZfGQyAG282uDt5W3egESOkeE5DDNnziQmJobChQsTHx9Ps2bNKFOmDPb29kydOjUrYjSLESNGcOHCBU6cOGHuUIQQIm8JOQ9/DIG5NY3JgloLdQcb5ylIspAn3YuIp/v8I+y5FIoKTMnC23U8+XtUkzyTLACETpsGQJBbfQBUahUWlhpzhpQukYmRTDk6hWRDMtYaaybUn2DukEQOkuHfUEdHR3bt2sXBgwc5e/YsMTEx1KpVi5YtW2ZFfEIIIfKKpFhj9aMzq1LaHIvD2yvAo6b54hJZ6t87kQxafoLQ6ERUGFfSsLfSMql9JXrU9TR3eJlKSU4mes9e4q2ciXQyDkOq1yF3rOz80b6PSNInAfBloy8paF3QzBGJnOSlU/omTZrQpEmTzIxFCCFEXnX5H1jfD5ITUtrqDALvb8DC+tnPE7najvPBfLjmDPE64/BeBfB0tmH14AZ4Oue9sfFRO3aATsfdUk1NbRUbFTFjROlzOuQ0R4OOAtC8WHPalmpr5ohETpOuhGHu3LkMHToUa2tr5s6d+9y+H3zwQaYEJoQQIg+IC4d178KNgyltGit4azFU7GC+uESWi0/S8/nmc6ZkAaBmcSeWDaiHo03eXHQv7OdfUIDgEs1AAa2VhgKOVuYO67kUReGDvSnXbt83+96M0YicKl0Jw+zZs+nduzfW1tbMnj37mf1UKpUkDEIIIYzunIClbeHRMAc0VtB2BtR6F1Qq88YmspxGraKIozUhUYkANC5TiN8G1UeVR9/7hCtXSAoMJMbOkyTFuKJz6Ro5f+2Fcb7jiHy0kvrUxlOx0dqYOSKRE6UrYbh+/fpTHwshhBBpJETBto/g7NqUtlItoPtSsJFx0XlZZLyOi0FRFCtow4hVp/G/Y7wQ/ahVOUa+nvsXY3uee+ONk4QLFFBMbdXfKG6ucNIlOCaY3bd2A1DFpQody3Q0c0Qip8o7ZQmEEEKYl0EPfw6DC1tAb/xUGScv6LFMJjXnA7cexDFg2XFuhcehUalISDZgqVUzo3t1Olb3MHd4WSrx6lUSL1wAIKqaN+jAylaLa3F7M0f2fMN3D0dBQavWMr/lfHOHI3KwDJdV7datG999912a9u+//57u3btnSlBCCCFymSs74VtP+He9MVlQW0CXBfChvyQL+cCpm+F0/vkQgfdj0ekVEpINFHWyYfOIxnk+WQB4uG49YJzUfdepFgA13sy5dxcUReFUyCkCIwMBWNxqMY5WjmaOSuRkGb7DsH//fiZPnpymvU2bNsycOTMzYhJCCJFbJMXCii5w51hKW/EG0G0pOOb9C0UBm8/c5aP1/uj0KUNxahV3Ys3QhlhqM/y5ZK4UuWULCiqO1/sfsfeNbSWr59z5C1OOTuGvwL8AaFikIbXcapk5IpHTZThhiImJwdLSMk27hYUFUVFRmRKUEEKIHC45CXZ9Did+BUOysc2mIHT6GSpIScb8QFEU5voEMHv3lVTtXWsW5bu3qmGhyR/JQtzp0xgiI4lwLEOsrbGEauES9hTysDNzZE+399ZeNlzZAICDpQNTm+SdRXdF1snwb3PVqlVZu3ZtmvY1a9ZQqVKlTAlKCCFEDhawG+bWgGO/pCQLtfrCJ9clWchHNvndTZMsrB5Sn1lv18g3yQJAyDTjMO37Reqa2qo2L2aucJ4rVhfLpwc+NW1/VOcjXG1dzRiRyC0yfIdh0qRJdO3alcDAQF5//XUAfHx8+P3331m/fn2mByiEECKHiLkPq7vDPT/jtkoDLmWh13oomHPHa4us8e/dSNNjdwdrto1+DecCaUcg5GX6mFgS/v0XBRWh7ikJQ6maOfMifJzvOOKT4wH4usnXdCrdycwRidwiwwlDhw4d2LRpE9988w0bNmzAxsaGatWqsXv3bpo1a5YVMQohhDAngwF2fwlH5oJiMLZV7Q5vfgUOOX8VW5F5bj2Iw93RmoX7A1ly6AYAveoX55suVc0bmJkkP3wIikKUgxdJGBdoK1XDBUvrnFeEcueNnRy6dwgwzluQZEFkxEv9RLdr14527dpldixCCCFymn8mwtF5KdtWDtBlPlSQ/wH5zeGAMIb/dgp7awvuRhg/pR7yWkn+1y7/Dke+O2oUAGGVU4bi1WiZ8+62RSVGMfHgRAA0Ko3MWxAZlvNSYCGEEOYXcQu2fgxX/0lpK1AY3lkNnnWf/TyRJ606dpPPN59Hb1CISjDOW3mveWk+8S5v5sjMJ/b0aRIvXUIB7tlVBh0UcLLEvXTOK0/68f6PSXy0NsrMZjNl3oLIsHQlDM7Ozly5cgUXFxcKFiz43GXdw8PDMy04IYQQ2SwpFvZPh4Ozn2hUQYP3oOWXoM1fY9Tzu2S9ga+3XmTZ4RumNjtLDT/1rkXz8oXNF5iZ6SMjud2vPwAGzzIk643t9dqXeu41kjmcCT3D4XuHAehdsTdvlHjDzBGJ3ChdCcPs2bOxtzeuVjhnzpysjEcIIYS5nPsDNgxM3Va4EnRfDq7lzBOTMJvIeB3v/3aKQ4EPTG0ejtasGdqQ4oVszRiZ+YX9ughFpwMguf8ElAMJaK3UVGycs+b0PIh/wDjfcQBULlSZ8fXGmzkikVulK2Hw9/fnrbfewsrKipIlS9KoUSO0WhnNJIQQuZ5BD6eXw77pEH0vpd3CBpqNh0YfgDr/lMgURoqi0Omng9x4EGdqK+pkw5qhDfB0zt/JgiEujoerVwOg9fDg+HnjgnXFKxbKcXcXhu4aSmh8KE5WTvz0xk/mDkfkYum66v/xxx/59NNPKVCgAC1atCAoKIjChfPvrUghhMgTLv8D2z82zld4rGIH6DwftNagkQ+G8iNFUZi9+6opWXC01vJBy3IMaOSFWp2zLojNIXT2bJQ44/fGqucgYk4Z5wZ4VnI2Z1hp/HbhN648NK6T8WHtD3GxybkrT4ucL13/Dby8vJg7dy6tWrVCURSOHDlCwYIFn9q3adOmmRqgEEKITHbxbzi+EK7vS93++ufQdJx5YhI5QkhUAj/vDWD5kZsAdKlZlG+7VsXaQmPmyHKG5AcPeLjKeHdBZW3N+aQKQAQAFRq6my+w/7gVdYsZJ2cAUNimMN3KdjNzRCK3S1fCMH36dIYPH863336LSqWiS5cuT+2nUqnQ6/WZGqAQQohMYtDDXx+A329p99XoDXUGZH9MIkdI1huYsPFf/vS7S7LBOMRmaNNSTGhTIccNszGnB4sWGdclAVxGjuDA+WgAChUtgDaHJFVxujh6b+uNXjFej01vNt3MEYm8IF0JQ+fOnencuTMxMTE4ODhw+fJlGZIkhBC5SVw4/NwQYoJTtzuVgA4/QOkW5olLmF1kvI5hK05y9HpKlcNxb5Zj1BtlzRhVzqPodDxcuw4AlY0NmvbvkHTyOAD1OpQ0Z2gmiqIwcs9IIhIjAOhRrge13GqZNyiRJ6QrYRg7dixfffUVdnZ27N27l5IlS+b5Sc/z5s1j3rx5csdECJG7KQrs/QYOzgJDckq7Sg0NR0DzCWBZwHzxCbO6ERbLu4uPcfuhcSE2S42aJf3r0qSsjHf/L0NikmnuguuHH3L8rxsAqFRQslrOWNfgF/9fOBF8AoCqhaoyqeEkM0ck8op0lb748ccfiYmJAeD111/PF2stjBgxggsXLnDixAlzhyKEEC/n6Hz4qQ7s/z4lWXh9ErhVhcE+0OprSRbyscOBYbSde8CULAAsHSDJwrOEL1lseuz8bh8CToUC4FbKEVUOmAyerE9m7aW1AFioLZjz+hzzBiTyFJn0LIQQeU3kHfhnPFz8K6WtxWfQZIyx8lGTsVIqNZ87HBhGn0XHeDRdgYpF7PmpVy1Ku9qZN7AcKvHmTR4sXgKA69ix6JIMpn2VmuSMtRe+OPIF4YnGD3QXtVpEYVsZOi4yj0x6FkKIvEKXAId+MK7UbNCltFvYQM0+KWVSJVnI987ejjAlCw1LFWLloHpoNfJz8TSGpCSud+6CkpiI2smJQgMHcGzrTdP+8nXNWx1Jp9fxi/8vbAncAsDE+hNl3oLIdDLpWQgh8oLAvbBjIoReSN1u5QCtvgI7N/PEJXKMmMRkNCoVH633Z+u/QQC8Xt6V+e/WkWThOYK/nIISbxy25TZhPCqtltAbUcbtkg6oteb73imKwqf7P2X3rd0AtCrRip4VepotHpF3ZWjmcn6a9CyEELlC8DlY2gYSox41qIFHwyUqtIe2M8AhZwyZEOZz80EsfRcfJ06n5360caGx18q6sKhfXVmM7Tl0oaFE/vknAFaVKuHUqRNxUUncvvgQgBZ9KpgzPNZdXseuW7sAcLJ0YmqTqWaNR+Rd6U6L161bR1JSEs2aNUOr1XLnzh0MhpQxfHFxcXz//fdZEqQQQoj/UBQ4thDmN34iWQAwGO8m9FgJ76ySZEFw9NoD2v5wgJvhcdyPTkStgq87V2HloPqSLLzAnffeN6274PHdNACunbkPgKWNlkJFzTfn40zoGaYeS0kQFrdejLXW2mzxiLwt3QlDz549iYiIMG1XqlSJGzdumLajo6OZMGFCZsYmhBDiaR7ehOUdYPvHxm23qjDgH+Nk5lp9YcQxqNTRvDGKHGHFkRv0XHiU2KSU+YWbRjSmT4MSZowqd4g7e5aE8+cBKPB6C6zLGteluHoqBAAHF/NdnIfFh/H+7vdRME5Eea/ae5QrWM5s8Yi8L93jihRFee62EEKILBYVBEd+Mn49VqM3dPzJOJG5eANjUXiR7+kNCp9vPseqY7dMbV1qFmVqlyrYWsqQ4vQImfro03sLCzznzk1pv2a8o1fQzdYcYaEz6Bi2axjROuMq061KtOL9mu+bJRaRf8hfDSGEyA1Or4QtI1O2VRpQ9BB2NSVJkGRBPNJn0VGOXDOW2LTQqPjh7Rq0reZh5qhyD0NyMgnnjHcXHFq9ierRvM07l8LR64xDlKq18DRLbN8d/44rD68AUMKhBN++9q1Z4hD5iyQMQgiRkykK/PUBnF5h3FZbGEumKnpwrwZtp0uiIFJZd/I2J24YJ+XaW2tZPbgBVYs5mjmq3CVm717Q60Gtxv3LL03tx/++DoCljQb30ub5nl5+eBmAAtoCLHhzAZYaS7PEIfKXDCUMO3bswNHR+AtiMBjw8fHh3LlzAKnmNwghhMgEgXvAZwrc8zNuq9TGZEFrDS0mQoMRKWsriHwvQadn8cHrTN9hvKCs7OHA8oH1cLGzMnNkuU/YoyFI1pUro7EzTmzW6wwEB0YCULZu9pcpVhSFWadmcSb0DACzms+iqF3RbI9D5E8Z+k/Tr1+/VNvDhg1Lta2ST7mEEOLVKQrqw3Nh75T/tBvA6zXo8AMUKm2e2ESOtPrYTb786wKJycbhMt6V3ZjXq5asr/ASovf6kng1AACXESlzAwJOh/J4+mb9DiWzNaaIhAimn5xuWpxtVM1RNCraKFtjEPlbuhOGJ0uoCiGEyCJRd2lwbSaaqLMpbW+vhpOLoXIX44rN8uGMeERvUPhwrR9/+QeZ2l4r68IvvWtLydSXoOj13Bs3DgC1nR12zZqZ9l0+avweW1prsLHPvrs2eoOegTsGcjXiKgDDqg1jaLWh2XZ+IUDmMAghRM6gi4f9M9AemInbo1KJVO0BnX8xDjuq0FYSBZFKdIKOLj8fJiA0BgCNWsWMt6rRpVYxM0eWe0X99TeGuDgACo0ckWrkhD7Z+HtpZWuRrTFNPTbVlCy0LN6SkTVHvuAZQmQ+SRiEEMLcAnzgt64ApEoJXMqmzFGQZEE84WZYLN4/7CfhUcUerVrF4QmvU9heFu56WYqiEDLNuDibytaWQv8Zhh0dngBALe/i2RbTxqsbWX9lPQAuNi5831QWyBXmIQmDEEKYS2IMbBgAV3em2aWv2Q9N/WFPeZLI7/xuPaTrL4dN4+lrFXdi3bCGMl/hFcUeOYL+UQEX53f7pLq7EB2eQPQDY8JQtHzBbInncvhlJh+eDICl2pJlrZdhocneuxtCPCYJgxBCZDdFgYOz4fCPEB+eepdzaQ4Vepv6bceisZCLA5Gaoij8sPuKKVkY3qwU49tUNG9QeUTIlK9Mj11HjUq17/AG45Agx8I2FHQvkOWxhMWH8c7Wd0wrOU9vOp0SDrI6tzAfSRiEECI7JSfBqrfg+j7jttYKkhNBrYXGH5Lc6EMe7Nxj3hhFjmMwKCTo9HT++RBXQoxzFr7vVo0edc2zeFhek3TzJkk3bgBg26ihaaE2gGSdnoDT9wHwKOOULfEM3jGYZEMyANNem8brJV7PlvMK8SySMAghRHa55werekBsqHG7ziBoONK4MFvrb8G9Kuh05o1R5DjRCTre++00F4OjeBCTBMAHr5eRZCETRfyx0fTYY+rUVPtunXtgely8snOWx+J/35/AyEAA+lbqS7tS7bL8nEK8SLoShoIFC6Z7jYXw8PAXdxJCiPxEFw9/jYaza43bKjV0mgc1ehm3+/9tvthEjnY7PI4By06YKiFZqFUs7FuHFhUKmzmyvEVJNn6ab1O9OhZFiqTad27/PQA0WhWla2Xt9z0+OZ4P9nwAQP0i9fm47sdZej4h0itdCcOcOXNMjx88eMDXX3+Nt7c3DRs2BODIkSPs2LGDSZMmZUmQQgiRa90+AYtbpm5TDOBa3jzxiFzj5I1whq48RXis8a6CtVbNhvcaUaWoo5kjy1sURSF8yRIAHLt0SbM/9GYUAAWcrLJ0gdoH+gd0/asr4QnGD14/b/B5lp1LiIxKV8Lw5ArP3bp1Y8qUKYwcmVIH+IMPPuCnn35i9+7djBkzJvOjFEKI3Oj6fvite+o2ayfj8COPWmYJSeQOm/zu8vEGf3R6xdS2sG8dSRYymaLXE3PosGnbrkWLVPtjIxNJjDPefajWIuuGgEUmRvJT9E/oMA5JnNV8FsUdsq98qxAvkuEabDt27KB169Zp2lu3bs3u3bszJSghhMjVdAmw5QNY3gH0CSntVbrByBPGoUiyroJ4hp/2BvLh2jOpkoV3G5SgaTlXM0aVN0X7+HBnmLF8sUXRoli4pR5y9OT8hZI1XLIkBkVR6Lm9pylZaFWiFW+WeDNLziXEy8pwwlCoUCE2b96cpn3z5s0UKlQoU4ISQohc65ov/FQHTi9PabMvAj3XwltLwE7GnovnezwE6bHP2lXkq85VzBRN3hb28y88rlHrOjbtCImrp4wFCrSWahwK2WRJDB/u/ZDguGAAKjtXZnqz6VlyHiFeRYarJH355ZcMHjwYX19f6tevD8CxY8f4559/+PXXXzM9QCGEyDV2fQGH5hgfW9hCmZZg5wZvfA7WDmYNTeQOp8JUrLx627S9tH9dmeCcRXTBwSReugQYV3Z2bJe2GtHD4FgAipbNmsXaFv+7mD23jWWUPdQerGy9ErVKFuATOU+GE4b+/ftTsWJF5s6dy8aNxjJkFStW5ODBg6YEQggh8hW9Dv4YAhf+NG6XagFdFoC9m3njErnC1ZBovthynsOBDwANAFZaNb4fN6eIY9Z8qi0gYsMfpsdFvkg7wVgxKMSEJwJQpVnRTD//6ZDTzDk9BwBHS0eGWg/N9HMIkVleah2G+vXrs2rVqsyORQghcp+I2/Dr6ylrK6gtoNda44JsQrzAwathDFl5kvgkvamtors9699rhJ2VLJWUVRSDgYe//QaAysoK+6fMzbxz5aHpcbGKmXuHITg2mDG+KUOg1rVdxwnfE5l6DiEy00v9NTIYDAQEBBAaGorBYEi1r2nTppkSmBBC5HinlhsXXXvMzg3e3STJgkiX347e5LNN50zb9tYa2hdNYkr/hlhYSLKQlaJ37kIfEQGAc79+qK3S/s76/mYcrlS8sjNaC02mnTs+OZ5Re0YRnhCOg6UDM5rOwNVWJrSLnC3Df5GOHj1Kr169uHnzJoqipNqnUqnQ6/XPeGbuMm/ePObNm5dnXo8QIhPdPAKr34bEyJS2OgOh7QxQZ96Fhcib9AaF//35L2tOpMxVqFOiID/1rM6xfVJtMDuEfPed8YFWS8HevdLsjwqPJyrMWOGsVM3Mu5iPTopmwD8DuPzwMlqVlvkt51PVtSo6WeFd5HAZThiGDx9OnTp12Lp1K0WKFMnSRUzMacSIEYwYMYKoqCgcHaXutRACCL0I/0yAa3tT2tQWMGQPFKlmvrhErhGbqKPTvMOmlZsBpnSqTN+GXnLRmE0URUFRjKMjbKpXw8It7VyjC49Wdwao2LBImv0vq/8//bny8ApWaitmtZhFVdeqmXZsIbJShhOGq1evsmHDBsqUKZMV8QghRM6TEAWr34Fbh1LaHItDzT7Q7BNZU0GkS2KynkHLT6VKFtYMbUCDUlKSPDtFrF+PPjgEgGJz5jy1z9VTxv1aSzVqTeZULVp/ZT1XHl4BoJJLJZoWkyHcIvfIcMJQv359AgICJGEQQuQPAT7wW9eUbfeq0HYmFJeqcCL9LgVH0fHHQyTpjZ9sVynqwIJ361DUSaogZSd9dDShM2YCYFu3LlrXpw83in40HMmrWuYs1nYt4hpfHfnKeF6tLT+/8XOmHFeI7JLhhGHUqFGMGzeO4OBgqlatioWFRar91arJbXkhRB6QFAfr+8HVnSltLuVg2AG5oyAyZPu/QUzafM6ULEzrWpV36hU3c1T50+2hwzBERQHg8d20p/aJuB/3eC036rYr+crnPB92nv7/9EdBQa1Ss7LNSuws7V75uEJkpwwnDN26dQNg4MCBpjaVSoWiKHlq0rMQIh9LioMfa0N0yjhmqvc0TmqWZEGkk6IoLNx/jW+3P1ocDFg+sB5Ny0lFHHNIunOHeD8/AGwbNsTCw+Op/c753gVApQbnIgVe6ZwH7xzkPZ/3TNvfNvmWcs7lXumYQphDhhOG69evZ0UcQgiRM1zaZryzoE8ybtu5wdurwLOueeMSuYpOb+CLzedYfTylEtLMHtUlWTCj0OkzTI+LzZ71zH4P7hrnmNjYWb7S+e5E3+GDvSlll79s9CVtS7V9pWMKYS4ZThhKlCiRFXEIIYT5bR8Px35J2a7aAzrNA+2rXTiI/CUqQceIVac5cDXM1PZ9t6p0rVXMjFHlb4a4OKJ3GocX2jZogMbJ6Zl9H99ErNj45asj6Qw6+m7vi86gw1pjzYe1PqRr2a4vfqIQOVSGE4YVK1Y8d3/fvn1fOhghhDCL0Evw12i4fTSlrdl4aDHBfDGJXOl2eBzvLj7GjQdxprYvOlSiR12Zs2BO4atW8Xhigsuokc/sZ9AbuH3RuMJzsfIvv7rz1KNTuR9/H4CVbVZSoVCFlz6WEDlBhhOG0aNHp9rW6XTExcVhaWmJra2tJAxCiNwjMQbW94fAPaA8mn9V5k14eyVYSPUakXEL9gemShYmtq3AgMavPnFWvJqHq38HQOPkRIHatZ/ax2BQuHAoZd6Se+mXW4Np9cXV/HH1D8A4DEmSBZEXZDhhePjwYZq2q1ev8t577/Hxxx9nSlBCCJHl/v0D/hwGhkeLZdm5Q8/foWgt88Ylcq2lh67z29FbABSw0jC/T21eKytzFswtatcukoOCAHAZ8f4z+4XeiGLfauM6CS6edmgtMr5q+8oLK/n+xPcAeNp7yjAkkWdkOGF4mrJlyzJt2jT69OnDpUuXMuOQQgiRNeIjYH5jiLyT0lbqdWOyYGFttrBE7qQoCmtO3CY8NpHpO66Y2veOa05hB/l5yglijx4DQGVrS8E+fZ7Z7+b5B6bHTm62GT7PlfArTD8x3bQ9t8XcDB9DiJwqUxIGAK1Wy717917cUQghzOXmYVjeAQzJxm2tDQzxAbfK5o1L5EqJyXo+2XCWzWdS/vcVK2iDz7hmWGkz/um0yHz6iAgiVq0CoMiUKaieUxb57qWUERSVmzy95OqzhCeE0+0vY9l5FSqWtVlGmYKywK3IOzKcMGzZsiXVtqIoBAUF8dNPP9G4ceNMC0wIITLVg0BY2SUlWfBqCn03g1pt3rhErhQem8SQFSc5dTPlInNY01J80roCGrWs1ZFThC38FQCtmxv2LZo/t29kWLzpceESDuk+R5I+iQ5/djBtf93ka2oVlqGNIm/JcMLQuXPnVNsqlQpXV1def/11Zs6cmVlxCSFE5tDFw85JcOLXlLbW06DBe89+jhDPERAaw8BlJ7gVnjK5eWjTUkxoW9GMUYn/ijvtR/jSpQC4vDccdYFnL8IWERpHXKRx7RWVCixt0n959M7f7xCVZFw9elDVQXQs3fEVohYiZ8pwwmAwGLIiDiGEyFxJcbB1LJxdl1IBCaDHSqgk/9DFyzkUEMZ7v50iKiHZ1PZ9t2r0qOtpxqjE09wdM8ZUStWhdevn9r18NNj0uHYbr3Sf40TwCa5GXAWgS5kufFjrwwzHKURu8EpzGJRHv4jPGxMohBDZLvw6zK2Ruq1SZ/D+BhyLmiMikQck6PSMWXsmVbLwVecqkizkQLHHjpMcEgJAgWbNnrtQG8DlY8aEQaWGWt7pW6BWp9cxwmcEAOWcyjG50eSXjleInO6lBu+uWLGCqlWrYmNjg42NDdWqVWPlypWZHZsQQmRckH/aZMHKATrMkWRBvJKoeB12VimTmeuUKMi7DdJ3cSmyj6IohHzzjWnbdeSzF2r7L7uCVlhYvXjC+qG7h3jf533ik43zHr5q8hVqlcyHEnlXhu8wzJo1i0mTJjFy5EjTJOeDBw8yfPhwwsLCGDNmTKYHKYQQL6QosO3j1HMVANQW8PZvYPPyq7aK/CsuKZlLwdFo1So6/nQIAK1axfTu1ehSs5iZoxNPE3PgAImXLwOgKVwYm6pVXvic+BjjeizOHnYv7Hsm9AwjfEagfzTU8aM6H1GpUKVXiFiInC/DCcOPP/7IL7/8kmpF544dO1K5cmUmT54sCYMQIvtd2Qmruz99X5f5UKpZ9sYj8oSQqAQGLz/JlZBo9AbF1L50QF1ZkC2HUvR6gj//wrRdcu2aFz/HoKB+VNmqUqPnl1O9EXmDYbuGmZKFpkWb0q9yv1eIWIjcIcMJQ1BQEI0aNUrT3qhRI4IeraQohBDZQp8Mc6pA9BN/e8q3hcvbjI9bTYWqb5knNpGrXbgXxaDlJwiKTDC1eRWyZfnAepQo9OxqO8K8Yg4cJDnYOB+hQNPXsChS5IXPObwxgKT4ZNQaFcWrOD+zX1h8GIN2DiIu2Vgdq1zBcvz0xk+ZE7gQOVyGB9yVKVOGdevWpWlfu3YtZcuWzZSghBDihe6cgm+Lpk4WWn8HV3cZHzcYAY3SP3ZZiMf2XArhrfmHUyULpV0LsGlEY0kWcrj7P/xgeuw2fsIL+8dFJXFm920AOj+xDAAAn9JJREFUilUoiNbi6fMXYnWxDPxnIKFxoQDYWdixvPVyKfoi8o0M32H48ssvefvtt9m/f79pDsOhQ4fw8fF5aiIhhBCZLnAvrOycsl27P3T4AQwGiLwNUXeh1dfmik7kUoqisOzwDb76+wJPjECiiKM1f45ojIO1hfmCEy8Uc+AAiRcvAlB0zhysSpV84XPuXklZeM+hkM1T++gMOvr/05/rUdcB0Kg0LG29FDvLF893ECKvyHDC0K1bN44fP86sWbPYtGkTABUrVuT48ePUrFkzs+MTQogU8Q9h41C4ujOlre9mKNXc+FitBu+pYNDLCs4iw7b+G8SXf11I1dahugc/vF3DNMZd5EyKTse9CRMBsK5eDYfW3ul63sVD90yPKzV5+vyFPbf2cCn8EgAWags2d9qMp4OU0hX5S4YSBp1Ox7Bhw5g0aRK//fZbVsUkhBBp/bsBtn8CcQ+M254NoPc6Y3Kw63No8T/QWhn3qV9cFlGI/3K2tUy13b+RF5M7VjZTNCIj7s+fjz4sDACPr9N3dzEhVsftiyl3GFyKpb1jcPHBRT7a95Fpe9/b+7C3tH/FaIXIfTL0EZyFhQV//PFHVsUihBBpKQr8PRb+GGRMFqydoOuvMGgHaCzh93fg0A+wZZS5IxW5UFBkPDq9Af/bEfRadMzUvm5YQ0kWcgklOZnwxUsAsCxRAqt0zqcMvhaZalv1n7tIZ++f5X2f903bc1rMkWRB5FsZHpLUuXNnNm3aJOVThRBZLyESfusGd04Yty1s4cN/wdrBeGfhj8Fw+xhYO0IT+ZskMub0rYcMWX6SAlZaboXHmdp/eKcG9Uo+u1qOyFlCZ85CSTBOUC80fFi6nxccmJIwNO9dPtW+P6/+yeeHPzdtL261mHpF6r1ipELkXhlOGMqWLcuUKVM4dOgQtWvXpkCB1BUjPvjgg0wLTgiRj13zhRWdUrbf/AoaP/r7oijG4UmX/gaNFfRcA4UrmiVMkTv95X+Pcev8SdIbeBCbBEB5N3uWDqiLh9PTJ7+KnEdJTibiUcEVTaFCOHXpku7n3guIAEClgnL13U3th+4cSpUsLHpzkSQLIt/LcMKwePFinJycOHXqFKdOnUq1T6VSScIghHg1imIcXuS3MqWtx0qo1DFl++AsOLEIUEHXhVAi7dowQjyNoijM2xvAjJ1XUrUPea0kE9tWlDKZuUzExj8xxMYC4JrBkQ8lqhQiKCASF087LCyN857+vf8v7/m8Z+rzbZNvqe9RP/MCFiKXynDCcP369ayIQwghIDEGVr8NNw8atzVWMPAfKForpc+Z38FnivFxm++gcudsD1PkTonJej7ZcJbNZ+6lal87tAH1SxUyU1TiZSl6PaEzZwKgtrfHqUvnDD3/6KZrAHhWNA4/C4oJote2Xqb9P7T4gdeLv545wQqRy2U4YRBCiCxx5yRsHQdBZwAVNPsUmo83jhd4kpMnWDlC7X5QP/3jlYXot+Q4R6+Fp2pbN6yhzFfIpeJOnMAQaZyHUGjoEFSa9FdHi3mYsihfpSZFeZjwkM6bO5va3q30riQLQjwhwwnD2LFjn9quUqmwtramTJkydOrUCWdn+QMshEinU8vgr9Ep2z1WpB6C9CSvJvDeQXAoli2hibxhw6k7qZKFvg1L8Fm7SlhqZb2O3OrBo8pIWFhQaMCAdD9PURQ2fG8cUq3WqNA46vHe0Ia4ZOPE9zG1xjCw6sBMj1eI3CzDCYOfnx+nT59Gr9dTvryxqsCVK1fQaDRUqFCBn3/+mXHjxnHw4EEqVaqU6QELIfKYAJ+UZKGAK3SYCxXapu4Tfh108eD26G+KU/HsjVHkWvFJevZeDuWj9f6mtm0fvEYlDwczRiVeVZyfH7EHDgDgteo3VNr0X86E34sl9mEi/J+9u45v4n7jAP5J0qTupS0tLYUiRQrF3d0dho8xNqBM4Dc2GMMGYwyGr8AGY7gzZLgWKVLctcWpu8fu90fgrqHeyEWe9+vFK9/v5XL3bEmTe+5rAOp29UHwqWBkyFTjIL6p+w0lC4Tko8QJw4fWg3/++QcODqov3JSUFHz++edo3rw5xowZgyFDhmDixIk4duyY1gMmhJgIhgGO/QhcXslt+/YeILZS3y8jQTW1akY8MGw34EOzlZDi2R7+CnMPPUB6jgIA4GZniZVD61KyYOQYmQxxy1cAACwrV4J1rVolev3jy9Gq44DBRukfuJF6AxYCC8xvOR+d/Iq3QjQh5qbECcPChQtx4sQJNlkAAEdHR8yaNQsdO3bEN998gxkzZqBjx45aDZQQYkIyE4EFFdS3TXyQN1mQZgBbBwKJEYCjL+Doo78YidFSKhn8tP8etl55xW7rW8cb8/oGwkpMq4Abu+Q9/yLz0iUAgPPw4SV+fcTNWADAyzL3cC71FABgYauFaF++vfaCJMTElLjzZkpKCmJjY/Nsj4uLQ2pqKgDAyckJUqlU8+gIIaYn+RWwugVXr9gG+CkOcPRW308hB3Z/Bry9Blg7A8P2AA5l9RsrMTpZUgUG/nVJLVmoXc4RC/rXomTBBDBSKWJ//52tO/XvX+JjpCWqBjzfc1N1aQp0C6RkgZAilKpL0meffYZFixahQYMGAICrV6/iu+++Q+/evQEA4eHhqFKlilYDJYQYuQf7gT1jAIWq7zCEYuDTg4Bv47z7MgxwaBLw5ChgYQUM3gGUoe8UUrjYtGx88tdlRMap+qPbSEQ4+k1L+Lra8BwZ0ZbEzVugTE8HANi2bAmBsGT3PVMTssAoVeU429cAgLUd12o1RkJMUYkThj///BMTJ07EJ598ArlcrjqIhQVGjhyJxYsXAwACAgKwdi39ARJCAEgzgR1DgYjT3DZLB2DobsC3gAWRzi4AbmwABEKg398F70fIey8TMtB/1SXEpasSUmcbMY5NbAl3e6siXkmMhVIqRfzq1aqKSASfP1aU+BiL9q+GO2ojxTIeImvgZO+TsBFTQklIUUqcMNjZ2WHNmjVYsmQJIiNVi55UrFgRdnZ27D5BQUFaC5AQYsSu/q1qKcht2L+qbkgF3RlUyLmF27r+DlTrrtsYidGLjEtH20Vn2bqbnQTHJ7aCi62Ex6iItiXv2gXl+67PLiOGQyAp2fu78f5GXEu9jMbW7lAKFTj/yXlIRPQZIaQ4SpwwnDlzBm3atIGdnR1qfTQzQUhICIKDg7UWHCHESClkwLIgIPUNt63bIqDB50W/VmShan14fBio0UdnIRLT0G/VRVx/mcTWrSyE2P5FE0oWTIwyO5udGQkAnPr1K9Hrk7OTsfTGUsicZSiT7ove3n0pWSCkBEo86Llv3764fv16nu3Lli3D1KlTtRKUIQgJCUH16tXZcRqEkGJ6ehKY46aeLAzdU3SykBbDlS0sKVkgRfph9x21ZGF08wp4NLcLKrnbFfIqYozksbHsqs6SqlVhWalSiV7/44UfIcq2RMOX3VD/TWc0bkXrRBFSEiVOGBYuXIguXbrg0aNH7LZFixZhxowZOHTokFaD41NwcDAePHiAq1ev8h0KIcbj7AJgS647f80nAjOTgcpFzECSEAGsagIc/RFQKnUaIjENvxx6iB3XXrP1F/O7YXp3ugg0VdIXL9iyx3ffFft1idmJ+Pz45zj/9jzKJ1dH3Xcd4ehuDc+KjjqIkhDTVeIuSZ9//jkSExPRvn17XLhwATt27MC8efNw+PBhNGvWTBcxEkIMnVIJ/NkSiLnLbRuwvnitBOmxwOa+QGYC8DIMkGcBEludhUqM38i/w3H2aRxb3zyaFvMzdbGLl7BluxbNi/Wa4y+O44dzP0DOqCZoqZ+ounEhgED7ARJi4kqcMADA999/j4SEBNSvXx8KhQLHjh1D48b5TI1ICDF9cY+BnSOBuIequsgSmHgfsCtT9Gtz0oEtA4CkF4CzHzB0FyULpEBKpRJVfjoKuZIBANhKRDgxqSW8nGiWG1OlzM7G28nfI+d9rwbv5cuK9bqTL0/if2f/x9Z97X1hn+QBAHArR13WCCmpYiUMy5cvz7PN29sbNjY2aNmyJcLDwxEeHg4A+Prrr7UbISHEMCmVwInpwKU/uG2BA4C+awBBMe7gKWTAzhFA1C3AxlU1e5Kdu87CJcYtLi0bTeefZpMFiUiIaz+1h7WkVPe9iJFI3LgJ6SdOAACs6tWFQ8eORb7m4tuLmBg6ka33r9wf39WcivXHVbOv1WjpXdBLCSEFKNY37ZIlS/LdLhKJEBYWhrCwMACAQCCghIEQc5DyFliSq7+4rTvQby1QsVXxXs8wwIGvgYhTgNgGGLILcPXXTazE6F1/mYRhay9DpvjQsmCBe7M7QlCcxJQYLXlSEuJXrWLrnt9/X+RrrkZfRfApbrbGSfUmYVTNUbh5glv5u1xVZ+0GSogZKFbC8Pz5c13HQQgxFuFrgMMfDTr8+iZgWYJm/jdXgdvbAIFINdahXD2thkhMx81XSei36iJb/6ptJfyvY1UeIyL6krB6NZisLACAZWAgrGvXLnT/m7E3Mf7keHbMgggijKo5CgDw6GIUux8lmoSUHLXlEkKK7/5e9WRh1FGgfJOSH8enITBwA5CTBlTppL34iElZePQRQkIj2Pqhr5ujhhfNbmMOpK9fI3HLVrbu9tmoIl/zX8R/yFZks/XjA46z5cSoDACAc1kaI0VIaZR4WtV+/frht99+y7N9wYIFGDBggFaCIoQYoGPTgF2fcvVv75Y8WWAYrly9F1BnmFZCI6Zn4dHHasnCls8bUbJgRuKWLAXkcrZu36noGwtZsiy2PL3xdLjbqMZEZSTnsNsb9qigvSAJMSMlThjOnTuHrl275tnepUsXnDt3TitBEUIMiDwH2DVKfXDzd08BJ9+SHed1OLCmDZDypuh9iVmbsvs2QkKfAQBEQgH2jW+KZpXceI6K6EvW3btIPXyYrbuMGgWBMP/Llaj0KCiUCvxy+RccfH4QAOBq5YqBVQey+0TeimXLFWrT54iQ0ihxl6T09HRIJHmXUxeLxUhNTdVKUIQQA8EwwNZBQOQZbtvnp0s+m1H8U2DrQCArCQidD/T6o+jXELM0bvN1HLkXDUCVLPw7rglq+9AgVXNi4eoKoaMju7Kz+/8m5btfZEokRh0dBS9bL9xLuAcAsBJZ4cSAE+r73YoHAFRvVhYiUYnvkxJCUIoWhsDAQOzYsSPP9u3bt6N6dVplkxCToVQAfzTgkoX6o4FZKSUfoJwWrVqYLSsJ8K4PdMnbpZEQAFhzLpJNFgDg0FfNKVkwQ1l37rDJgvOQwRBY5L23+Tr1NcYcG4PE7EQ2WSjvUB6Xh1yGWChW2/fNoyQAgDfNjkRIqZW4hWH69Ono27cvIiIi0LZtWwDAqVOnsG3bNuzatUvrARJCeJAQAayoy9XLNQS6LSr5cbJTgS39geRXgIs/MGQHLcxG8kjLlmHE3+G4+TqZ3XZiYktU9rDnLyjCm+R//wUACMRieEyfnuf5d+nvMPr4aMRmcV2NXK1c8W/PfyESitT2zcnixkGUrURjYAgprRInDD169MC+ffswb9487N69G9bW1qhVqxZOnjyJVq2KOQc7IcRwPT2husj/oOVkoO1PJT+OXArsHA5E3wVsywDD9gC21H+YqMuSKhA4i5vNxtJCiP8mNKdkwQwl796N9HPnkXFBtbaT588/55kCNSYjBqOPjUZURpTa9jUd10Aiyttd+tZJbv0FibU4z/OEkOIp1bSq3bp1Q7du3bQdCyGEbwkR6slCx1+AphNKd6xTs4HIUEBsCwzdBbjQ7CREXVxaDjosOcvWu9cqi5k9qqOMvRWPURE+KDMzEbdsOeRxcQAAkYsLHHt0V9snPisenx//HG/S1SdO2NdrH/yd8l/4MfpZsup4FkJYWtNM8oSUFv31EEJUFHLgz1ythN2XAPU/K/3xmkwAXoYBbX4CvOpoHh8xKb8efog/z0Wy9e87V8X41pV4jIjwKXHDBjZZAADnwXnHLjxNeoq36W/Vtq3puKbAZAEA3jxOBgB4VHDQXrCEmKESD3pWKBT4/fff0bBhQ3h6esLFxUXtHyHECCW9AOZ5AdI0Vb33Ks2SBQBwKKuaUalye43DI6Yl9HGsWrIwunkFShbMmDwhAfGr/+Q2iERwCx6fZ78mXk3Qulxrtt6jYg80Ltu4wOPmXn/BsyIlDIRoosQJw+zZs7F48WIMGjQIKSkpmDRpEvr27QuhUIhZs2bpIERCiE49Pgosqw0o3v+4dl8CBA0p3bEe7Afu5Jr8oIC504n5uhKZgNHrr7H11lXLYHKnqjxGRPjEMAyi5/4CJoe7uK98/hy77kK6NJ1tVXiZ+hInXqmmTG3r0xbzWswr9NiRt7kWC7dyNCaGEE2UuEvSli1bsGbNGnTr1g2zZs3C4MGD4e/vj1q1auHy5cv4+uuvdREnIUQXDn8PhL+/syeSAAM3AVU7l+5YL8KAPWNUiYetG+DfRntxEqOnUDKY/d99bLz0kt3WNdATyz6pAzHNjW+2Ug8eRNqRI2y9/LatsHjfWyFTlonxp8bjbfpbTGkwBZPOqtZjcLR0xNI2S4s89tWDzwEAAqEA5QNdtR88IWakxAlDdHQ0AgMDAQB2dnZIeT9Xcvfu3TE9n+nPCCEGKnQ+lywAwDd3VN2ISiP2IbB9sCpZCOgOVGipnRiJSZArlKg07Yjatp61vbB0UBCEQkEBryKmjmEYxP0RwtYd+/SBTR3VeKcseRYmnJ6Am7E3YSe2Y5MFAFjQckGe2ZM+plAokZUmAwB4+NlDYkVDNgnRRIlv65QrVw5RUarpzPz9/XH8uGo6vKtXr8LS0lK70RFCtO/GJuA3PyD0V1XdxhWY8rr0yULKW2BzPyA7BfBpBPRbC3w0FzoxX4+j0/IkC6Ob+2HZJ5QsmD2lEsrsbLbqMe1HAECOIgffnvkWV6OvwsbCBumydHafha0WoqlX0yIPHf+ae03LwdTljRBNlTjl7tOnD06dOoVGjRrhq6++wrBhw/D333/j1atXmDhxoi5iJIRoy19tgHc3uHqdYUCP5aW/wM9KVk3DmvoWcKsCDN4OiK21EioxfnffpKDHHxfYupejFQY38sWENpWKvENMTF/q0aNQxMQAACpfOA+RnR1kChm+C/0OF99dhJXICpnyTHb/uc3morNf8bpMPrumOq6VrRhlfGj8AiGaKnHCMH/+fLY8aNAg+Pr64tKlS6hcuTJ69Oih1eAIIVp0bZ16sjD2AuAZWPrjyaXA9qFA7APAzlO1MJsNzZRGVNJz5Oi3KoytLx9cB90Dy1KrAkH2o0dIvxCGpB07AAAOPXvAws0NcqUcP5z/AaFvQiERSiBVSNnXTGk4Bb0q9Sr2Oe5feAcAqNLIQ7vBE2KmNO7U16RJEzRp0kQbsRBCdIFhVBf2jw+p6gIR8FMsINLwz18kBnwaAFG3VQuzOflqHisxCXKFEj1WnIdUwQAAjn3bAlU9aVpLAihzcvD2u8mQPnum2iAQwG3sOABApjwTr9NeQwABpEouWfizw5/F6ob0QWaqFLJsBQDA2dNGe8ETYsZKfMWQkJAAV1fVbAOvX7/GmjVrkJWVhZ49e6JFixZaD5AQoqEt/YFnJ1Vl9xrA5yc1TxYAQCAA2s8CGowBHL01Px4xCSlZMgTNPg7mfV0kEEAqZwp9DTEfcYuXcMkCANcvv4BlRdUq8A4SB7Qq1wqPEh+xz2/sshF13Eu28GPkLW46VQc36iJJiDYUe9Dz3bt34efnB3d3dwQEBODWrVto0KABlixZgr/++gtt2rTBvn37dBgqIaTEjvzAJQvVe6u6IUk0vOP26DAgy+LqlCyQ957FpqN2rmRBKADWflofgeUceY2LGIaMS5eQuGEDW3f6ZBDKfPMNrkWr1uVYdXsV/ryjmrnN284b14ddL3GyAABPrkQDUN3TKFfVWQuRE0KKnTB8//33CAwMxLlz59C6dWt0794d3bp1Q0pKCpKSkvDll1+qjW8ghPDs3ELgympV2aMmMHCD5gup3d2tmj51Ux/1pIGYvaQMKQasvsjWLS2E2DamMdpUdecxKmIoFCkpeDdlqto296lTseDqAow6NgoD/xuIlbdWAlC1NBzscxASkaRU54qKUE337lzWFkJa44MQrSh2v4SrV6/i9OnTqFWrFmrXro2//voL48ePh/D9BchXX32Fxo0LXqKdEKJHt3cAp+dy9aG7Ct63uCLPAnvHqsplawMWVpofk5gEpZJB+8VnkZSpmvfe3soCWz5vhFrlnPgNjBiM6Nk/Q/5+RiQAKPPdJCy/txKbH24GADxMfAgAsLawxumBp2EhLF23ycw0buxD7XblNIiYEJJbsVPvxMREeHp6AlAt2GZrawtnZ66pz9nZGWlpadqPkBBSMk9PAHu/UJUtrIGf4gAHL82OGX0P2DEMUMqA6r2ATvNU7f2EABixLhwJGaoLNSdrMfaMa0rJAmHlREYi9f2aTQBgWb0adtWXY929dWr7tfFpgytDrsBSVPo1ne6dfcOWA5po+L1HCGGVKIX/eN5smkebEANzbw+w+zOu/tU1wKJ0zfqs5NeqgdM5qUD5ZkCfv2hhNsJafTYCF57FAwB6BXlhUocqKO9qy3NUxJAokpIAuZytn5reCStv/6G2Tw3XGljcerHG1xUv7iQAACwkIprClxAtKlHC8Omnn7KrOWdnZ2Ps2LGwtVX9MOTk5Gg/OkJI8WUlqScLX98EHDVsks9MVK3inBYFlKkGfLIFEFNXJKIyYNVFXH2ZBAAQCQVYPDAIIrpII7kos7PxcuSnbP3C3N5YfuePPPutbr+61N2QcstKV3WL869bRuNjEUI4xf7rHDlypFp92LBhefYZMWKE5hERQkrnz5ZceWwY4FJR82OmvAYyYgF7L2DYbsCaZhwhAMMwaPLrKUSnqm4UlXO2xslJrShZIGoSt2xBzBxuLJX34kWQe70EbnP7VHKqhE1dNsFOYqfx+RiGgfT9OJpqTctqfDxCCKfYCcM///yjyzgIIZoIWwYkv1KVW34PeNbUznHL1gZGnwAUMs1bK4hJkCmUqDfnBFKzVV1MJCIhDn3VHFZi6qZGONmPH6slCx4zpsOqU3sc+a8/u81ebI8tXbfARqydxdXSk3Igfb9gm7sfLRRIiDZpYfUmQgivdo0C7v+rKlftBrSdptnxGAZIfcetr+BWWbPjEZOx/9ZbfLP9Flu3tBDixvQOsLWknxLCUebk4PW48Ww9ckwHKDrWwuDN9dhtzbybYXX71Vo977WjLwAALl62EEsogSVEm2iCYkKMlTQTWNeFSxac/YD+6wp9SbGELQVCGgERZzQ/FjEZ+26qJwtejla4PbMjJQskj9jfF0H+7h0A4Jo/8GOZsxh8aDD7/JjAMVpPFgDg2dVYAIBMqtD6sQkxd/RNT4gxYhhgoT8gy+S2TbgOiDT8k769HTg5S1WOewT4t9HseMQk3Hubgm933GLrnWt4YsWQOhDToljkI+lhF5G0aRMA4GlZYNFACZSMkn3+1xa/onvF7lo/ryxHAWmWqptci4HUKkqIttG3PSHGJisZmO3EJQu1hwAzkzVPFiJOA/uDVeWmXwGNx2l2PGIS4tJy0H3FBbY+qIEPVg6tS8kCyUORkoK3334LAIi3B2aOlEABLllY3HqxTpIFAHgcHs2WfWu46uQchJgzamEgxJgo5MBv5bl69d5An1WaHzfqNrBjOKCUAzX7A+1/1vyYxOilZMnQ4JeTbP23/rUwsF45WoOH5Ctp+w4o09KQYwH8MNoCcgGXLGzrtg013bQ0GUM+Xt5VrQUithRBRMksIVpHCQMhxmTrQK4cOBDot0bzYya9ALYMAKTpQIWWQO+VgJB+cM3dhovPMfPAA7a+eXQjNK/sxmNExJAp0tORsGkjYhyBVd2ESLPmnlvfeb1OkwUAePc0BQBgbS/W6XkIMVeUMBBiLM78CkScUpXrjQJ6LNXOccOWAekxgEdNYNBmwMJSO8clRmtl6DMsOPqYrfcO8qJkgRSIYRg8qd8AAJDlDrx25244fFXnK9TzqFfQS7VCqWTY8QuOZayL2JsQUhqUMBBiDI5NAy69Xx3Vv632kgUA6PwbILEFGgcDVo7aOy4xSpk5crVkYUhDH8zrW4vHiIghy4mMRGT3Hmzd0dYVaVbJAFTd1r6o9YXOY4h/ncaWa7am9WII0QVKGAgxdIf+B1xdy9V7a2E6QqUSEAhU/ywkQMe5Rb+GmLxsmQJ15pxg6z92CcAXrfx5jIgYMnliIl4OGQoolUiyAayt7PDlJyn4kCycHnBaL3G8eD9+AQD8atKAZ0J0gRIGQgwVw0C070vg/h5u29Q3gKW9xsfFoYmAUAx0+Q0Q0gJHBEjPkaPB3JPIkasGqrauWoaSBVIgZXY2Xo8dB0VyMmIdgFlDhUiyy8KHZOHykMuwFdvqJZZrh18CUC3YJqQBz4ToBCUMhBgihkGvWyPVt016qHmyAADnfgeurwcgAAIHAL6NND8mMXqTdtxCloxb8OrvkQ14jIYYMkapxLsfpiD7zh1kWALzPhEh1RZQWKiShfWd1+stWZDlKMAoGQBAUHsfvZyTEHNECQMhhubCEog/LJ72wZTXgJWD5se+sQk48777UdeFlCwQRMSlo92is2zd0kKIR3M609SppEBxixcj7dgxyIXAgv4iZEkAqVj1ebkx/AbEQv3NVPToUhRbDmhcVm/nJcTcUNsdIYbk8PfcSssAGOcKwKwU7SQLT44D/32jKjefBDQco/kxiVGLiEtTSxbK2Fvi4c+ULJCCJe3ciYS1fwNQTZ+abAsk2QGtfVrj9ojbek0WAODWyVcAAFsnCQRC+twSoiuUMBBiKM79DoT/yVbD/SZAPv6qdo799jqwayTAKIDag4F2M7RzXGK0Hkalot2ic2x9RvfquDqtPYR00UUKkeXphDRrYGdzIZ54C5BoB9hbOmBF2xUQCvR7ScEoGaQl5gAAHMvY6PXchJgbShgI4ZtSAfzZCjg9h90k+18Eopwbauf40gxg22BAlqmakrXnCtXsSMRsbQ9/hS7LzrP15pVc8VnzCjxGRIwBwzCIH/cNNrQVIDQQ8I5nkGMpwIHeB3iJJyoyhcYvEKInlDAQwrdfPIGoW1z923vaXQ9BYgt0Xwr4NAYGbgREtBKqObsYEY8p/95l6/XLO2PTaBrLQgomi4lF6rFjeFStOsQKYOwRBj3CGdyqYoHQgaFws+ZnUb9LeyMAqO5/lKfpVAnRKRr0TAifNvYGFFJVuXKn9ystSwCZTLvnCegKVO1CLQtmblXoM/yWa1G2WT2q49Nm1LJACqbMyMDLMZ8j69lTiKCaNDXRHvinowj/9doPV2v+LtSjI1MAALZOljSdKiE6RgkDIXw5Ng2IPMPVh+7U3rEVMuDoVKDpBMDZT7WNkgWz9vW2Gzhwm5tR5qu2lShZIIViFAq8/PoryJ48RYYNEBooQLSzAKfqCHFp8CXYSex4iy05NhNQ9UZCYBta3ZkQXaOUnBA+hC0HLv3B1Wckau/YDAMc/Ba4ugbY2AuQS7V3bGKUlp58opYs/No3EP/rWJXHiIgxiPn1V2SHXYLUAljeQwjXVAYZXZvi9ojbvCYLAHDx32dsuUYLbx4jIcQ8UAsDIfq2sbd6y8LUN9pdbTn0V+DmZkAgBDrPV3VxImZr65VXWHryKVt/MLsTbCzpq58ULn7NGiRt3gIAWNFDCLdU4N5XHbGm7TKeI1ORS1UrkkMAWFrT55kQXaO/MkL0KfKserIQHK6d1Zs/uLYOOPubqtxtsWrcAjFby04+wZJcycKtGR0oWSBFSj15EnGLFgMANrcR4kqAEEtbL0W78u14jkwlLTEbrx+oWmWb9PbnORpCzAP9chCiLxkJwMaeXP2HF4C1s/aO/+gwcOh/qnKrH4D6o7R3bGJUsmVy9PwjDE9i0tltEfO6QkRrLJAiKJQK3J79HdwAyITAgUaqz0zLci35DSyXJ+HRbLlWWxq/QIg+0BgGQvSBYYAVdbn6mNPaTRbeXAd2fwYwSqDOcKD1VO0dmxiVtGwZAqYfU0sWln0SRMkCKVJ4VDjarKwNtzjVYmhZluAmSzCgj8/lfZEAAEd3a1iItdidkxBSIGphIETXGAaY7cTV/VoA3vW0ew4nH6BMVcDOXbXmAs2IZJZuvkpCn5UX2bqVWIhLU9rB2ZbGsZDCnXp6FN+GfYedfyjYbVWOHYfXuc95jCqv2FepbLlqY08eIyHEvFDCQIiubejBlW3cgJH/af8cdu7Ap4dUiYKI/qzN0drzkZh76CFbb1nZDRtpQTZShISsBMw+Px1NF4dixvtVkwHAdcwYOLv74Fj/YzxGl1f4gUi2XLdjeR4jIcS8UJckQnTp8mrgxfn3FQHwfYT27v7npAEPD3J1SzvVqs7E7Oy4+kotWRjeuDwlC6RIEckRaL2jFar8HYraLxhUf8095/6/SfwFVgBGyeDdU9Vibc6eNhBZ0CUMIfpCtyIJ0ZWECODoD1x98rOC9y0puRTYOQKIOK2aOrXxOO0dmxiVndde44c9d9n6gv6BGFjfl8eIiDEYfng4bsXdQq/LDNrdZsAIAOH7BgaPHw1zDNTDS+8gy1F1mWrSh2ZHIkSfKGEgRBeSX6sPcv7mDmDrpp1jMwxw4CtVsiC2BXzoTrK5uhyRgO933+HqU9vB09GKx4iIMZh6fipuxd1CkwdKDA1VrWcgeJ8siH184DJiBAAgTZqGMcfHAAC2dtsKoYDfO/o3j78CAIgtRfCrpaXvU0JIsVDCQIguLK3JlXssA5y12Nf21M/Ane2AQAQM3Ah41y36NcTkXH+ZiE/WXGbrh79uQckCKdK6e+twMPIgqr5hEHxQmef53K0LCqUC9xPu6zO8AmWny5AckwUAqFTfHQKa2IEQvaIOgIRo2/ruXNm7HlDvU+0d+8pfwAXVgkrouQKo3F57xyZG4+rzRPRbdYmtL+hXC9W9HHiMiBiDZ0nPsOT6EkhkDCb9q4BEof68hVdZ2Ldpw9YZMDAUz27EsOVGPSvyGAkh5okSBkK0KfcgZ9+mqvUWtOXBAeDI96py25+AOkO1d2xiNEIfx2LAn1yy8HmLChjYwIfHiIix6HugLwBAKhbAcfaPEEi46XYdevZA5dMFf18JeF6I4cPaC24+drB1tOQ1FkLMkcknDK9fv0br1q1RvXp11KpVC7t27eI7JGKqnp1SH+Ss7elT4x4DYID6nwEtvtPusYlRuPYiEZ/+c5Wtj2vtj5+6VecxImIMZEoZAjcEsi0GwbXHQzx3JRipFADgMW0avBcsyPM6Q2lhYBgGOZlyAECNFt48R0OIeTL5MQwWFhZYunQpgoKCEB0djXr16qFr166wtaXpJ4kWZacCm/ty9Smvtb8eQqvJgFcQ4N+WFmYzQ5ci4jF4zRW2PrVrAL5sSTPFkKI13tIYYBgMPqvEmVpCdFkajszkZACAZZUqcBk+LN/XMYxhJAxPwqPZcqW67jxGQoj5MvmEoWzZsihbtiwAwNPTE25ubkhMTKSEgWjX/FxdQgZuBKy01J88PRawdQYkNqp65Q7aOS4xKuHPE9WShUH1ffBFC+rHTYp2OPIwpEopBpxXos8lBn2uCpApVw2Wt/DwQIV9e4t1HD4HGV/Z/5wtW9mJeYuDEHPGe5ekc+fOoUePHvDy8oJAIMC+ffvy7BMSEgI/Pz9YWVmhUaNGCA8PL9W5rl+/DoVCAR8f6u9LtCh0Plcu1wCo3ksrh7VQZMJi2wDVStEZCVo5JjEuMoUSfVaGYWCuMQvLPgnCb/1r0SwxpEgMw2B++Hy0uqPEgLD3rQVyVdcesbc3Kp05DYGw4MsAgUAAFysXOFs66yPcfCVFZyAtMRsA4OpNN/oI4QvvLQwZGRmoXbs2PvvsM/Tt2zfP8zt27MCkSZOwevVqNGrUCEuXLkWnTp3w+PFjuLurmiaDgoIgf/8lmNvx48fh5eUFAEhMTMSIESOwZs0a3f4HEfOSGgWE/srVR5/QznHlOWgYuQyC9IeAnQcgTQNsXbVzbGIU7r1NRZ/Vl9W2bR7dCM0r0/zzpHhW3FwBrycJ+PKI+vSpNvXro/zmTUW+3sXKBWcHndVVeMVy6yS3/HTj3tQFjxC+8J4wdOnSBV26dCnw+cWLF2PMmDEYNWoUAGD16tU4dOgQ1q1bhylTpgAAbt26Veg5cnJy0Lt3b0yZMgVNmzYtct+cnBy2npqaCgCQyWSQyWTF+U/Smg/n0/d5STElPYd4ZQO2KvvmPnv3TiOMEoIDE1Am/SEYsS3kg7YBdt4AfQ7MgkwmQ6YcasmClYUAN35qB7FISN8HJkyb3/k7nuzA4TN/Ye4eJSw+Wm6h7No1RvE5UsiVeHQ5iq17BzgaRdylQb/35onv970k5xUwhjKqCarmz71796J3794AAKlUChsbG+zevZvdBgAjR45EcnIy9u/fX+QxGYbBkCFDULVqVcyaNavI/WfNmoXZs2fn2b5161bY2NgU9z+FmDiRIhvd73zB1u97DcIzj25aOXb1t9tQOfYIlBDhsv//EOdQs+gXEZMRnQn8epu7lxPorMRnVZUQUg8kUgxSRooFKQtgmZGFXzYo4J6i/vyTeb8AIhE/wZVQaqQYqY9VixHaV8qBY2UpzxERYloyMzMxZMgQpKSkwMGh8LGXvLcwFCY+Ph4KhQIeHh5q2z08PPDo0aNiHSMsLAw7duxArVq12PERmzZtQmBgYL77T506FZMmTWLrqamp8PHxQceOHYv8n6ltMpkMJ06cQIcOHSAW00AvQyL+hesWIu++HFVqD0EVLRxXGL4aoptHAAA3y3+OoH4T6b03I6cfxeLXLbfYel1fR2z/vCGNVzATmn7nx2bGovO+zgAAsQDIkqg/7zFvHir16J7PK/OXkpOC785/B4FAgL/a/VXieDS1dUY4AFWL/6Cv2kFowlkz/d6bJ77f9w+9aIrDoBMGbWjevDmUSmXRO75naWkJS8u8i8KIxWLe/oj5PDfJR+6VnGsPhkX9kdo5bnYKcHE5AEDRZgbeJFdCLXrvzcbphzH4Mley0Lm6O1YNr0/JghkqzXf+69TX6LqvK1vv5tEW5eO4MVUe06bBpW+fkgUiB67HXodQINT795BCrkBmqqpFoUpDD1haSop4hWmg33vzxNf7XpJzGnTC4ObmBpFIhJiYGLXtMTEx8PT05CkqYtZ2jeJWcrZ0AHqt1N6xrRyBz44C9/+FsvFXwJEj2js2MWgP3qXisw3X2HorTwVWDA6iZIEUy8V3F/HliS8BAP7vGHR3aIpmv3LJQvlNG2HToEFBLy8Qnz2WXz9MglKhOn+rIVV5i4MQosL7tKqFkUgkqFevHk6dOsVuUyqVOHXqFJo0acJjZMTsyKXAP12B+/9y2yY/AwqZkrDYFLkGSrv6Ay0n08JsZiQ6JRtdl59n61M7V0HfCgYztIwYOJlCxiYLHW4o8esGBZqt4D5P5UL+KFWykJsA+v8+Or/zKQDAr5YbJFYGfW+TELPA+19heno6nj17xtafP3+OW7duwcXFBb6+vpg0aRJGjhyJ+vXro2HDhli6dCkyMjLYWZMI0bmcNODXcurbJkcCFnm7rpVYyhtgY2+g86+0KJuZYRgGk3fdxu4bb9ltq4bWRfsANxw+/IDHyIgxabWzFQCgdoQSn59QTzR91qyBXYvmpT42A34S13dPk5EalwUA8K7ixEsMhBB1vCcM165dQ5s2bdj6hwHHI0eOxPr16zFo0CDExcVhxowZiI6ORlBQEI4ePZpnIDQhOsEwwG9+XN27PjDqsHaShawkYHM/IOEpcHIW4N8WEBrH7CVEM68TM9FiwRm1bdO6VkOXwLI0rSIptmGHhyFNmobyMQy+PyCEQMm1VjoPH65RssCnM1tUk5pY2YlRuy0ttEqIIeA9YWjdunWR/SQnTJiACRMm6CkiQnI5/hPw4Ue4zjCgV4h2jivLBrYNAeIeAfZlgcHbKVkwE3KFMk+ycCC4GWr5OPETEDFKE05NwO2423BOYzBllwLibO45sZcXPKf9qPE5Pvw267NLUkZyDpKjMwEAVRt5QmDCMyMRYkx4TxgIMVjHfwIu/aEqV+qgvWRBqQD2fgG8uqgaOD1sD+BEd9HMRf/Vl9iyRCTErrGNKVkgJbL90XacfXMWVjmqZME1Tf15v927tHIegUAAawtriAT6u5lxdvtjtlyzpbfezksIKRwlDITk58kx4OIKrv7JVu0cl2GAo1OBB/sBkQT4ZAvgUUM7xyYGb+/NN7j1OhmAKln476vmqOppz29QxKjcj7+PX678AgCoE8mggvokgqh65zaEEu1MQepu447woeFaOVZxMEoGrx8ksnUnD1oslRBDYdCzJPEpJCQE1atXRwMNZ5cgRur4T1x5WjRgoaU5wB/sB8L/VJX7rAYqtNTOcYnBm77/HibuuM3W945vSskCKZE/b/+JTw59wtYnNVPvdlTpbKjWkgU+PLseC7lUtW5Sl3G0wj0hhoRaGAoQHByM4OBgpKamwtHRke9wiD6d/gWIf6IqN/sGEFtr79gB3YG6I4AyAUDNfto7LjFoYc/isenSS7b+a5+aqOFN3yuk+AI3BLJlgZLBj/V+ADNwLrutwv59EBv5ZCCX90ew5QqBZXiMhBDyMUoYCMnt1jbg3AKu3n62do8vsgB6LKd1FszI+adxGP43163j6DctEFDWgceIiDFRMkqMOT6Grdd7qsTU+5WAlSugfL+twr69sKqq/cXNErMTMe3CNFgILbCi7YqiX6CBrHQpUuNVI7crN/Cgwc6EGBhKGAj5QKkA9o3l6hOua+fCPuoOcGsr0HGuKmGgZMFs/HbkEVad5e6abvm8ESULpNhkShnqbqqrtm3mo2rIfnifrUv8/GAVEKCT80sVUlx4ewESoe67Ob28m8CWm/WrpPPzEUJKhhIGQj44m6tlYeJ9wLFcwfsWV9JLYEt/ID0GsHIA2mg+1SExfFK5El2WnUNEXAa77eBXzVGTuiGRYnqU+AgD/hugtu3KkCt4t6mX2raK/x3QWQxFTXmuTVcORAIAHMtYw9ZJC+vcEEK0igY9EwKoFlE7O19VFttqJ1nITFQtzJYeA7jXABqP1/yYxCgM/POiWrKwZkR9ShZIsR17eUwtWfCw8cDVoVdhI7aB7M0bdnv5TRshEIt1Ho9Ax62irx4kID0pBwDQdmQ1nZ6LEFI61MJACAAcmcKVv7ml+fFkWcC2T1SrODuUA4btBqydND8uMXhD1lzGrdcpAABfF2ucnNQKEgtalI8UTaFUYGnqUsSHxbPbNnXZhCD3IABA6rHj7Hb7rl1ho+NZ/BjovoUhM1WKQyF32LpXJSedn5MQUnKUMBByfDpwZ7uq3GUhYOeu2fGUCmDP58DrK4CVoypZcPDSPE5i8H7YfQcXI7i+2KcmtYbYghpySdEYhkGbPW2Qrkxnt61ou4JNFuLXrEHcosXsc85DBustNl2u9Hzn9GsoFarExLemi87OQwjRDCUMxLwlvwYuLufqDccUvG9xHZ0KPDoIiCyBwdsBd2piNwcXnsZhx7XXbP3cZEoWSPG139Ue6TJVsuBj54M9vfbA2kI1pXNGeLhasgAAAqHuP1u6bmGQZslxJ5TrYuVb3VWn5yOElB79mhHzxTDAhu5c/ac47cxgVLkDILEH+v4FlG+q+fGIQVMqGcw6cB/Dck2duvmzhvB1teUxKmJMlt1YhtisWABAfUl97O+5n00Wsh8/xqsRI9l9xT4+eo9PV2MY7p1/C1m2AgBg72qJ2m31/99GCCkeamEg5iukIZD0QlXuvkR7qzlX7gB8cxuwpbtlpi4+PQf1555U27bxs4ZoXoUWnSJFUygVmHFxBg5EcDMd9bbpzZYZuRzPe3F1z1kz4fyJaqVnfcxg5G3njbsj7+rkXHKZArdPci1yNVtqYaIJQojOUAsDMT/STGC2C7eas0tFoP5nmh0z8iyQwM23T8mC6cuWKdSShfrlnXH9p/ZoSckCKYbojGgEbQpSSxbCBoax5Xc/TMGjmtzqzh4zprPJAqD7mYty08W5Hl+ORmaqlK1Xb07jvAgxZJQwFCAkJATVq1dHAx3PQkH0TC4F5pUFGAW3LTi84P2L491NYNtg4O+OQPwzzY5FjMagPy+x5fl9A7F7XFO42tH88aRoDxIeoMPuDmy9gmMFHO5zmO2GlHb0GFL272eft+/SGS5Dhug9Tl16/SCRLdu5WMLKVvfTwxJCSo8ShgIEBwfjwYMHuHr1Kt+hEG1JiADm5rr7W703MCsFEGnwQ5UYCWwZAMgyAM+agJOvxmESw/YiPgN+Uw7h9hvV1Kl963rjk4a+SMuWYfyW6xi/5bpeF7wixuVN2hsMOjiIrQ+sMhAHeh+Aj4Oq/744Lg4xkyezz1e+dBHllixh69Fz5uLNN98iJ/K5zmONz4rHpNBJmHp+qtaP3eHzGmy5Vmsau0CIoaMxDMQ8pEYBK+py9aBhQO8QzY6ZEa9amC0jDvAMBAZu0t44CGKQZv93H/+EvVDb9nOvmgBUqzsfvhvNQ1TEWDAMg08Ocd2K5jWfhx7+Pdh6RlgYKvy+iK17r1gOC2dntWOknz8P2atXcPl0JHQtS56FEy9PwMbCRuvHvrSH68JZoyV1RyLE0FHCQEzfizBgfVeu3mke0CRYs2NKM4CtA1UtDI6+wNDdgJWDZsckBm3WgftYf/EFV+9RHZ82q8BfQMSoyJQyjD85Hik5qpapb+t+q5YsMAyDqLHj2Lrv+n9g27hx3gPps/VKB6dKjc+ChUSI26dVA55dvW0hsaJLEUIMHf2VEtN2YSlwciZXH3kQqNBCs2Mq5MCuUcDb64C1MzD8X8DeU7NjEoO29OQTtWTh4c+dYS2h1ZtJ8SgZJYYfHo77CfcBAG192mJ04Gi1fd798ANb9vrzz/yThVyMddDz6U2P8PZxElvv8XWQ1o5NCNEdShiI6Xp5UT1Z+PQQ4Ndc8+NK04HMBMDCChiyE3CrrPkxicE6ei8KS08+Zev3ZnfKN1mgUQskPzmKHLTa0QoZsgwAgIuVC35p/ovaPll37yH1wH8AALmtLWyaNin4gHpsYdD2wm0xL1LVkgW/QFfYOtJEAYQYA0oYiOn6pwtXHncJ8KiuneNaOwEj/wOi7wA+DbVzTGKQcuQKjN18g63fmN4BdpZFf23q8+4vMVxKRom2O9uyyYKHjQcO9T0ESxF3kZwTEYEXAwaw9cifpiFA75EWTgDtfJ5vHnuZ+6DoOr6WVo5LCNE9miWJmKZTc7hy2+naSRZyT5kqsQF8C+8yQIwbwzDosPgcW186KAgutjSonRTflPNTkCpNBQBUdKyIo/2OqiUL2U+eILIbt9q8119/AcIifpY/tDDoISnVZgtDUnQGIm7GsfWe3wRRYk2IEaGEgZgeuRQ4/ztXb/md5sd8fFS1MnTofP0OOiS82XX9DV4lZgIABtYvh951vHmOiBiTv+/+jSPPjwAAOpTvgP2998NCyLVOvZsyFc979mLr3suXwaaJYd6E0EYLw80Tr9TqPgEuGh+TEKI/1CWJmJ6z87nyxPuaH+/NNWDXp6rF3pJfa348YvDi0nLw/e47AAB3e0ss6F+7yNe42krw8OfOWu/3TYxP6OtQLL2xlK0varVI7fmknTuRsm8fWy+3ehXsW7eGTCYr8tgVDx0EGAYCie5bu3zsfRA+VMOFLQGkJ+Xg0cUotj70Z8NMjAghBaOEgZiWhAjg/Psf59qDAcdymh0v/plq+lR5FlCpA9BjqV66AhD+hD9PxMBcqzhvHVO8ixuBQEAzJxFEZ0Tjq9NfsfVj/Y6pdb1J2r4D0bNmsfWqd25DWIKLf6GVlVbiLNa5BEJ29WlNRN6OZRtmHd2t4eSu/XUdCCG6RQkDMS0fFmcT2wK9V2l2rLQYYHNf1YxIXnWAAes1WxWaGDyFklFLFv4aXg+V3O14jIgYk1MvT+Hb0G/Z+sp2K+Flxy1K9mLoMGRdv87WK507W6JkwVglx2Sx5b7f1eMxEkJIaVHCQExH6G9cuUmwZi0BOWnA1gFA8kvAuQIwZBdgSReOpiwpQ4o6c06w9fGt/dGxRvHX10jNlmH2gQcAgEUDi+7CREzL5ajLasnCz01/RotyqjVfsu7fx4t+/dX299uxHWJ39xKfJ2b+b1AkJ8NtQjAk5TRsQS1CXGYclt5YCmsLa/zU+KdSHSMzVYq7Z94AABzLWMPGwfQTJEJMEQ16JqYh7jEQOo+rt52m2fGeHAOibgM2bsCwPYBdGc2ORwxatkyhliy0DXDH951LNrlltkyBPTfe4N+bb7QdHjFwDxMeYszxMWz9zw5/ok/lPgCAlAMH8iQLVa5dg3Xt0iWVqcePIWXfPiiSkksdb3GlydJwIOIAO3i7pORSBbbOvszWu0+gRJoQY0UtDAUICQlBSEgIFAoF36GQojAM8HcHrj7lVcH7Fldgf0AhBdyqAq7+mh+PGLS2v4ey5UH1ffBbf5ofnhSNYRiMPzUeF95eYLeFtAtBU6+mAICMy5fx7ntuBedyf6yAffv2Gp5Us5fr81xXDkQiJ0MOAKjbqTycPGjsAiHGihKGAgQHByM4OBipqalwdHTkOxxSmCPfA9kpqnKTCYCVBu+XQsaNUwgaonlsxOCtDH2GdynZAIAetb0oWSDFVmuj+mdlVftVaO6tWk3+YUA1ted81vwFuxYttHdyPU6+UJr1EpQKJe6efQsAsBAL0bh3RW2HRQjRI0oYiHFTKoHwv1Rln8ZAh59Lf6yra4Gbm4EhOwG7kvctJsYneOsNHLrDTfe4YnCd0h+MZlM1K3uf7mXLEqEEYYPDYGWhmsHoaYuWavt6zpyh3WTBCDy7HguFTAkAqNW2HC3SRoiRo4SBGLeH+7nyiH2AsJTTWj48CByeDDBK4N4eoPE4rYRHDNdf5yLUkoW/R9bXynHpssi0yRQy1N1cV23b9eHczEfp585BHsetaFz1+jUIbW21F4AeF478sKZISRduYxgGoVsfs/VqTb0K2ZsQYgwoYSDGbdenXFlcyvnCX10B9oxWJQt1RwKNxmolNGK4lpx4gmWnnrL1k5Na0fSppEhKRpknWRhXm7u5ED1nLpK2bGHrFQ8f0m6ykJsBZ6Yv7sRDls2N/6OxC4QYP0oYiPF6cpwrV+9dumPEPQG2DQLk2UCVzkC3xbQwm4k7/zROLVk49b9W8C9DyQIp3NEXRzH57GS1bbeG34LofatmzIKFasmC18IFsKxoGv32S9rCcPKfB2x56Gxa1ZkQU0AJAzFeWwdw5YEbSv761Chgcz8gKwnwrg/0XweI6E/ClMkVSgz/O5ytH5/YUmvJgqudJa7/1J6GMpiYlJwUNN/ePM/2uyPvsuW0M2eQuG4dW/fbtRPWgYE6iafC3n8BhoHI3l4nx8+tvEN5nB10tkQJQ0ZyDqTUukCIyaGrI2KcwpZz5QZjCt6vMAcmACmvABd/1UBniY66DhCD8OfZCPx65BFb/75zVVTx0N5Fl0gogKudpdaOR/jHMEyeZKFrha74rSW3SGREl66QPn/O1n03btBZsgAAFs7OOjt2nnMJLeBi5VKi18ikXLIwYKp2xgURQvhHCQMxPgwDnJjO1bv9XrrjdP0d2Dce6L0SsHXVTmzEIH22/ipOP4pl6/aWFhjfuhKPERFjkHva1CrOVbCl6xZ2JiQASNq5Uy1Z8F62DLYNG+o1RkOzb9ENtuxe3oHHSAgh2kQJAzE+i6tz5X5/l/44LhWAUYdpzIKJuxSRoJYsjGvtjx9KuIpzcaRkybDw2COIBALM7lVT68cn+rX0+lK1+p6ee9TqiuRkRM+YydarXLkMkR7W7IldtBiK9DS4ffklxJ6eOj1XTEYM1txdAxuxDSbVm1Tk/vGv05CRIgUAlK1E6xcRYkqEfAdASIlkJABp77h6YP+Svf70L8DjI1ydkgWTNvfgAwxec5mtX5zSVifJAgBkSRXYfPkVtlzRwkrjhFe7nuzC3/e4mxFXhlzJs8+Txk3YsvsPP+glWQCAlH37kLxtOxTJybo/lzQFOx7vwP5n+4vcNzUhCzvmXWXr3YJr6zI0QoieUQsDMS5Hcs1SMjmiZK+9vAo4twAQiIAJVwFXf+3GRgzKhK03cDDXOgvz+gTCy6mUU+8Ss3E1+ip+vsQtALmw5ULYiNUH7mY/fMiWxd7ecB31qb7CY9dG0Mu5SrDmw80Tr9QWL7S0pssLQkwJ/UUT46GQqxZVAwBHX8DWrfivvb8XODpVVW47jZIFE3buSRxGrAtX27Z1TCM09S/B54WYpVRpKj479hlbn9VkFjpX6Ky2T/aTJ3jepy9br3jksN7iU6PH1tGiZknKSpfi4QWu5bf/FBrsTIipoYSBGI/QX7nyJ1sK3u9jLy4A/34BgFHNqNS86L64xDj1+uMCbr9JUdt2YmJLVNbibEgF0eedX6Ib/Q9wXRyDg4LRr0o/tefliYl43rMXW7dr0wZCiURv8RmqO6ffQCHnPv8efjTYmRBTQwkDMQ63tgHnc82GVLZWwfvmFvMA2DYEUEiBgO5Al99o3IKJCnsWr5YsfNLAB/P7FfNzokX08TJOzbY1Q6o0la2PrZ13xfenTZuxZeugIPisWqmX2NTwkJcKCvlQS7PluHn8JVsv60+DnQkxRZQwFCAkJAQhISFQKBRF70x0KycN2Jfrx7u4MyOlxwJb+gM5KYBPY6DfWuD9qqzE9Iz6hxtweXN6Bzjb0p1fUjyBG9TXTTg76GyefR4GVGPL4nLl4Ld9m87jKpxhZKYPLrxTa13oO7kej9EQQnSFZkkqQHBwMB48eICrV68WvTPRrT9bcuVBm4s/M5KNK1ClM+BWBRi8DRDTgFdTJJUr0WHxWUgVSgDAkEa+lCyQYsmUZeZJFm4Ov5lnsbKYhQvV6v7Hjuo8NkNS2BiGJ+HRbLntiGoF7kcIMW7UwkAMm1IBJEZy9Wo9iv9aoQjotgjITgGsnbQeGuEXwzCoMDXvgNPp3arns7fulbGzxPnv2/ByblI6jbY2UqtfHXoVFkL1n8XcLQsAUO3RQ/Cpwq6dYBRKiN3L6PxcFR0r4mi/oxAWcm8x7lU6W67WtKzOYyKE8IMSBmK4ZNnALx5cfcL1ol+jVALX/wHqjgBEYlWHckoWTFJ+yULod61hLeGn25mFSAgfF5uidyS8y5Zno8GWBmrb7oy4o9ZXn2EYPKqmnnxWPMzTjEi5iMvq76JcLBLD2867wOejniWz5Ur13fUQESGEL5QwEMO1ilsYCQIR4Fap8P0ZBjg+Dbi8Enh6QtUNiUagmhy5QolK046obbs9oyMcbcQ8RUSMyevU1+i6t6vatpvDb6olC4q0NDxp0FBtn4CHDwod/GtukqIz8O/vN9h6x89q8BgNIUTXaAwDMUzJr7iuSBZWwMzEol9z6Q9VsgAANftRsmCCsmWKPMnCrRkdDCJZSMmSYd7hh/jt6CO+QyEFuBp9NU+yED40XK0bkjIjI2+ycP+ewSQLcSv+QMyChZDHxen8XDEZMVh0bRH+vP1nnue2zuJWv3b3c0DCuwydx0MI4Q8lDMQwLc01EHHKq6L3v7sbOP6TqtxhDlBrgG7iIrwKmK4+2PTF/G5wsjGMAc4ZOXL8dS4Sf194zncopAC5F2VrUrYJ7o68C2sLbjIEhmHwuB636JjQxgbVHj2EQGQ4s6slbd2KxHXroEhO1vm5ErITsP7+eux8slNt+6NL3ArqQpEAsS9SkRKbqfN4CCH8oYSBGB5prjtVFVoBFpaF7x95Ftj7ftrVRuOApl/pLjbCm4XH1O/cv5jfjadIiDGaFMot2NjFrwv+6vhXnn0iu3VXq1e9UYxxU2bo1AZu4LdHBVqkjRBzQAkDMTwruDt8GL6v8H2j7wI7hgFKGVCjD9BpHnVFMkGPolMRciaCrd+b3YnHaIix+f3q7zjx8gRbX9BqQZ59Ev5ZD2kkNyMb37MhFYh5v+aBHr7nPqxennta1ZQ4riWhTkdfbiE5+tolxKRRwkAMT9o7riws4iOamQgwSqB8c6D36qL3J0Zn8+WX6Lz0PFs/O7k17CwNb74GHhbgJcUQmRKJDQ82sPXj/Y6zZUYmQ9yKP/AwoBpif/uN3W5u6yyUxObpl9lykz7+PEZCCNEnw/vVJebtOXdhiODwovev2Ar47BjgWA4QW+kuLqJ3ManZaDTvlNq27ztXRXlXW54iKh660Wo4Fl5diI0PNrL1TV02oaydalpSRi7Ho8BaeV7jMnIkJOXL6y3GEtNjCwPYU6nOlZhrYLOThw0EAgEXDn3yCTFplDAQw5GdCmzI1Ye4TNX895NlAWlRgEtFVd2zpu5jI3qVnCnNkyx8274yxrcuYmpdQt57nfpaLVloVa4VgtyDAADK7Gw8Dqqjtn+ZiRPh9uUX+gzR6BxaeZstD57RsJA9CSGmhhIGYjjOL+LK7Wflv49SAfw7BngRBgzZAfjQj5Yp+m4Xd2FiLRbh8tR2BjF1KjEeuadP3dF9B6q7qhZhy378BM979VLb12DHKxRGj2O1BBAg4W06UuOzAQD2LlYQioQf70QIMWGUMBDDkPgcCFvK1ZtPzLsPwwBHfgAe/geIJIBCprfwiP6kZMpw8mEsAEAsEuDhnM48R1Q87vaWODGxJd9hEACBG7hpmbtW6MomCwDyJAsBDx/oLS5tKL9tG6BUQFyunM7P5e/kj3299iE9Tortc7guor0nca0zHT6rDrlUCTvnImazI4QYNRohSgzDbm5+dLT+Mf99LiwBrq4BIAD6/gX4NdNLaES/av/MDUrdF2w877FYJERlD3tU9rDnOxSztevJLrVkAQDmt5jPlqN/nsOWLTw8VGssGNmsapYVK8CyUiUIJbpff8TKwgr+Tv64sIhbd6F6cy84uHFrVzi4WcPFyxYSa7r/SIgpo79wwj+lEnh3g6u3/iHvPre2Aadmq8qd56umUCUmp+bMY2zZ1VaCGl6OPEZDjEnjrY2RIVNfbfjOiDtsQhCzcCGStm5ln6t0Wn2MDMlf/Jt0tuxT3QVthgXwGA0hhC+UMBD+3eCmPMQXoXmff3YKODBBVW76NdB4rF7CIvqjVDKo+ONhtW3XfmrPUzSlk5Ilw7oLzyEWCTChbWW+wzErt2JvqSULc5vNRa9KXNejN19/g7TjXMtV+c2bDGr15pKI/2sNmJwcOA8bCgtnZ52eKyotClPXL4alpzVqRbdG17GBefZ5cOEd0pOyUbmBB5w9DXsGM0JI6VHCQPh38Fuu7KU+cwkYBri8ElDKgcABQPvZeg2N6EfV6UfU6rdndjS6riKpWTIsO/UU1mIRJQx69Cr1FYYfGc7Wrw+7DomI667zrH0HyN68Yet+O3fAulbe6VSNRcLatVCmpsKhezedJwy7/wnDdZ+jsM92xdBqw2AhyZtkPQh7h5jnqSjja08JAyEmjBKGAoSEhCAkJAQKhYLvUExbFDcbDjrOzfu8QAAM2gxcXAE0+5YWZjNBVX86ApmCW/bs+a9djS5ZIPxYd28dllxfwta/qPUFmywopVI8rlVbbf/KYRdg4eqq1xi1jtHPEoEKhRJxr1KB940KLQdV0ct5CSGGiRKGAgQHByM4OBipqalwdKR+1Drz75dcuelXXFmeA1i8n3VDbA20+l6/cRGdUygZ+H/UDenhz50pWSDF8sXxL3Ap6hJb97DxwFd1VN8hjEyWJ1modPqU8ScLevQwjBvobOtY8ABrNn+hv1tCTBolDIQ/mYlA3Pv5z6t04bZLM4ENPYAKLYF2M+iHyER9vDDb7RkdYZ1PlwdCPjbu5Di1ZGFdp3Vo4NmAredewVnk6ooqYRf0Gp9Ovb9C13VifXbrY8BOVbYQ098lIeaOEgbCnxV1uXLP5apHhVw1xerba0DCM6DBaMBR9/ONE/3aff0N4tNz2PqzX7rA4uOFoIwU5be6JVVIceEtlwBcG3YNliJVaySjUOBRDfWV300qWdCTp9diAAAMitH96UMCo8uACCG8M41faGJ8MhKArCSubueu+uE5NAl4cgSwsFKt5EzJgsl5k5SptpLzjekdTCZZILr1OPEx6m2ux9ZDB4bCUmSJnOfP8frLsXmSBWNblK1YPvQB0mFmenztfbU6dRMkhFALA+FH6Dyu/O1d1eO5he+nWBUA/dYCvo15CY3oVvPfzrDlBf1rwcVW9wtQEeOXkpOC/v/1Z+tlrMvA1doVKQcP4d133+XZP+DuHbrQLYXL+yPYsmcFh+K/kP5XE2LSKGEg+scwwNW1XN3JF7ixCTjzi6redSFQrQc/sRGdqj2bmwu/opstBtb34TEa7XJ3sMT+4GYQ0kWqTjTf3pwtd6nQBQtaLkDynn8RNW0au92mUSPYtWwJp0EDIRCL+QhT53w3bAAYJSw8PbV+bIZhcP3IS7Y+YnQXtEqvBrGw4P+X7UZWh0yqgJO7dYH7EEKMHyUMRP9mO3Hlzr8BSS+5tRiaTwIajuEjKqJjcw8+QEqWjK2f+l8rHqPRPksLEWr7OPEdhkna+3QvW3a1csVvzX7Fw4Bqavt4/f47HLt303doemdds4bOjh31LIUttx9VHfZWdqhpVbOQVwAuXrT2AiHmgBIGol+xD9XrH1Zt7r0aeHFONSsSMTmJGVKsvfCcrV+e2o66i5Bim3GR+14IHRSaJ1koO2+eWSQLurZ30Q22XLWR9lswCCHGixIGol87hnHlWdzdLNQaoPpHTM6ua68xefcdrj62CTwdrXiMSDdSsmTYFv4KYpEQo5tX4Dsck7H0+lK2/G3db/F80CC156tcuQyRGa2Vk7B+PSCXw2ngQIgcSjDGoAghY0+zZa/KTgCA6IxoHH5+GI4SR/Sr0i/f1z26FIXMVCn867rDsQx1SyLEVNHUJER/lErVVKkAUL65KnlIi+Y3JqJTadkytWTBx8UaDfxceIxId5IzpZh/5BGWnHjCdygmQ6qQ4u97f7P1nmezkX2b+zwFPLhvVskCAMQtX4HY3xdBkZqqvWO+TlOr955YBwDwLv0dllxfgvX31xf42jtn3uDS3ggkx2ZqLR5CiOGhFgaiP+cWcuWcVODlBSA7BRj5H38xEZ1RKBkEzuIGOc/pVQPDm/jxFxAxKgzDsFOoNn2gxLf7lYjHH+zzVcKvQCA0w3teTDHWRiihG8e4gc7jVraBQKjqLlicdRgYHcRDCDE8lDAQ/ck9lWr0HcDGFei+lLdwiG75/3hYrU7JAikuuVKOOpvqQKhksP03RZ7ny2/epNXuOOZMLlPg2bVYAICdsyWEQhpbRAjJixIGoh9hy9XrFtbAkJ2Aqz8/8RCdyZYpEDD9qNq2u7M68hSN/tCNVu1gGAb1NgSh2UMG3xxQqj3n8eNUuIwYwVNkBoL9oGnnwv7Pr86y5Wb9K390quJ/qCnNIMS0UcJA9OPER7Mf9V8HlKvPTyxEpz5OFiLndTWru5bm81+qG6P/aIvtIXlbFQIePqCZtbTsQdg7tiy2EqFSPXceoyGEGDIz7ABK+PHRnapK7fkJg+jUn2cj1OrmliwQzciVckwOUZ8IwXn4cEoWcnt/118b/zvObHrElj9f1CLvqfDhXAWfTMsNHoQQA0UtDET3rv5d9D7E6B25G4Vfj3AXIOHT2lGyQIqNYRhcbByIMu/rNv17o/zcX3mNyZQp5Fx3r8oNPCAU0f1DQkjBKGEgunefW6UVIw+qHoX00TMl8ek5GLeFW/Rp7Yj6cLc3vbUWCuPpaIVtYxpDRElSieVEPkdk165ssgCAkoUC+K5dA0bJQOTmptFx7p17y5bbjaiW7z5VXapiXad1sBRZFnictsMDIMtWwLWcnUbxEEIMG121Ed2SZQEvzqvKNfsBFfI2exPjduD2O3y97SZbX9i/FtpX9+AxIn5YiUVo4u/KdxhG5+Wno5B5+bLatip3b/MUjeGzadBAK8e5dugFWxaJ829dcJA4oIFn4edzL0+zVRFiDqgNkujW7s+4cpMJ/MVBdCIxQ6qWLLjaSjCgvg+PERFjErd8uVqy8G9TAao8uAeRWMJjVKYvK12K7AwZAKBKI/NL7gkhJUctDES3Hueai9+jBhC+RlWu/xkgFPETE9GKHLkCdeecYOvftq+Mb9tX4TEifqVkybD/1ltIREJ80tCX73CMQvzKVWx5zNciTOk8HyL6XihU0vbtYBQKOPXuDaGtbYlfn5Mpw7rvLrD15gMqF7hvTEYMTr8+DUeJI7pW7JrvPk+vxiA7Qwa/Wm6wdzGvboiEmBNKGIjuxHIDYNFhjqp70uHvVPV6nwKgCwNjVvUnbvrUKh52Zp0sAEBCeg5m7L8PBysLShiKkBYaijdjx7H13/oLkWIrQPeK3XmMyjjE/DIPjEwG+3btSpUwrJ10ni17VnSEtV3BrTkvU19i3pV5qORUqcCE4frRF0h4mwEnTxtKGAgxYdQliejOtkFcmbojmZQlJ56o1Y9+05KnSIixkSckqCULAHC9kgA3ht8o4BVEWx5fjlKr951ct9D9mY+nw85vH1qwkBCzQC0MBQgJCUFISAgUirwLCJFiSnrBlYWUm5qKbJkCy049Zet3Z3Wk6VNJsbwcMRKZ4eFsfUcLIfY0F+LuyLs8RmVcSnt9zjAMTq5/yNbHr2qj1bUt6BuAENNGV3EFCA4OxoMHD3D16lW+QzFOcilXHrqbvziIVh25G6W2kvPfI+vD3krMY0SGg260Fi7655/VkoWwagLsaS7EwlYLeYzKiJXwYn/v71wLTo+vaxcrWShOCwMhxDxQCwPRjWNTuXKFVvzFQbRqQq4ZkbwcrdCuGs2w8jFakTivuBV/IGnrNrY+YawIsc4CVHCsgM5+nXmMzAiVog/QiX/uIyoiha37VtfB9L/0uSfEpFHCQLQv6QVwda2qbOkAWNAUiabgSUwaFErVxcqsHtXxabMKPEdEDF3i5i1IOXAA2XfusNvGjRchwVF1cflvz3/5Cs0EFO8C/cbxl3hyJYatD5hav9hnYN4nJ4UlwTSGgRDzQAkD0b5ltbny2AsF70eMyroLz9nysMbleYyEGCpGqUTWjRvIun0biZs2Qx4drfb8pM+5ZOHKkCuwoBXfdSo1PguX/o1g6wOnNUAZH3udnIvaFwgxbfRtTbRLls2VbcsAzrkuLCW2wJCdqrKAplQ1Jpsuv8T2q68BAA38nGEhouFPH/N0sMI/nzaAyEwHgKcePYa3336b73M2X47CF8xGvHNV/b+hQc6l57MyBGAYiJwci9x300+X2HKPr2uXOFkIcAlASLsQ2FjYFLhP6yFVIM1WwNXbrkTHJoQYF0oYiHYtyNVNZcwZ9edEYqBKJ/3GQzQmlSsxfd89tt4tsCyP0RguW0sLtAlw5zsMXiRu3YqYn+eobRN7eUFcrhw85v6MBme648M96LnN5vIQoemwa1m8KYxvnXzFlt3L25dq3IKzlTNaliv8fF6VnUt8XEKI8aGEgWhPzH1Alqkqi20BJx9+4yFaUWv2Mbb8a99ADKZFyUguGZcvqyULnjNnwHnwYADA+Tfn0f0Utxhbo7KN0KtSL73HaG4YhkHY7mdsvf8PxR+3QAgh+aGEgWjPqqZc+fvIvM/Lc4C776dYrT2Y1mYwAldfJCJbpmTrlCwULCVLhuP3oyGxEKJXkDff4ejNq09HseUK+/fBqmpVAICSUWL8qfHsc/Zie6ztuFbv8Zma5H/3AgAcunSG0No6331WjuNad1sMqgJBKbvJxWTE4FLUJThKHNHGt02++0TejENOlhy+NVxg62hZqvMQQgwfJQxEOzITuXKdYYDYKu8+skxg//sLiFqDQMuAGLZ3yVkYsJrrA/1kbhceozF8cWnZmLz7DpxtxGaRMMgTE/G0aTO27jFjOpssvEh5gR77erDPjQkcg6/rfq33GE1R1LRpAMPArkXzfBMGRa4EHwBqtSlX6nNFJEdgeth0BLgEFJgwXN4fgaToTPT5Xx1KGAgxYZQwEO24muvOYY/l/MVBtKbp/NNs+eu2lSCxoASPqOQ8e4bI7j3Utin7dMTRF0cRkRyB1bdXs9vdrN0oWdCjnb9yi42OW5n/RX5xFWfhNppWlRDzQAkD0RzDAGd+4epCmgHJ2G2+/JItd67hiUkdq/IYDTEUirQ0vJ30P2ScP6+23fLMHrTZmffitG/lvpjddLa+wjMPH67Q81kbQalQIvFdBgDAoYw1hHqdscs8ZwcjxFxQwkA0l/KaK3f+jb84iFbIFUr8lGtWpNXD6/EYjfEw9TutjFyOJw0aqm1z6N4d6T9+jl7/9We3VXCsgJScFAyoMgDBQcH6DtOsrQoOZcv9f9D87/ZDC4OAkgFCzB4lDERz/37JlRuP5S8OorHvdt3G7utv2PpwWqCtxApbFddYMXI5HtUMVNtW8dBBbMo8g2W5koUva32JCXUm6Ds88/TR5yzhXbpa3dpOos9oqIGBEBNHCQPRjEIOvLqoKott+Y2FaORZbJpasgAAc3rX5CkaYkiiZ6t3K6r26CEmhU7CiZcn2G0jq4+kZIFHV/ZzM9ONDWmtlWMyxWg2K84+hBDjRwkD0czDA1z565v8xUE0cv5pHIb/Hc7Ww6a0hbdT/lM2EvMhi4nFs1at1LZVvXMbydnJasnCrh67EOASoO/wzNtHLQzPb8cDAHxruEDEw0rs1MBAiGmjhIFoZjc3BzvsPQrfV2wLDFivKgtoxh1DIVco1ZKFMS0qULJQCp6OVggZUhdikelcOn2cLPjt2A6hRILV4YvZbft67YO/k7++QzNb3kuXAACEtlyL7umND9lyxaAyWjtXgEsAfm/1O+wl9gXu02JgFchyFHDytNHaeQkhhocSBlJ6qe+4sn/bove3kAA1+uguHlJiMoUS4zbfYOsrBtdBj9pePEZkvOytxOhWqyzfYWiFPCkJT5s0VdtW9c5tCCUSvE1/iy0PtwAAhAIhJQt65tC5s1r9xd14PLwYxdarN9Pe328ZmzLo5Nep0H3K13TV2vkIIYaLEgZSeuty/ZAM3MRfHKRUlEoGlacdUdtGyQKRxcTgWavWatuqPVLdwV57dy2W3VjGbp/TbI4+QyMfSY3PwqGQO2x91ILmpV7VmRBCCkMJAymdxOdA8iuubmlX9GvkUuDxIVW5Wi9ASN2S+FRj5jG1+j+fNuApEtOQmi3DuSdxsLQQoUP1IrrnGSh5UlKeZKHKlcsAgPNvzqslC+1926Onf099hmf2GIZB2tGjAAC7du2w6SduJfag9j6wcdDuzEixmbG4EXsDjhJHNPFqku8+L+7GQ5ajQLkAZ/3PzEQI0RtKGEjpLA/iyuMuFbibGmk6sOtTVXl6AgBKGPjAMAwa/HIKWTIFu+3F/G48RmQaopKzMWHrTbjZSdChege+wymxj7sh2XfogHIrVKu2K5QKjD81nn1ua9etCCwTmOcYRMeUSrydOAkA4PYvN+jc2dMGzfpX1vrpHiU+wuSzk1HTtWaBCcP5HU+QGp+Nft/Xo4SBEBNGCQMpOXkOVw4cCHhU5y8WUiIMw6DC1MNq227P6MhTNMQQyGJj8ayl+uBmsbc3mywAQIvtLdjy4IDBlCwYgH8X3QDEqpbdQdMaFrF36dCUqYSQD+gWLym5lFxz9fdZzV8cpMS6LDuvVr89oyMcbcQ8RUP4pkhLy5MsQCiE/0nu7vW9+HtIk6Wx9R8b/aiv8MjH1C7gVWMVApqWhUis259yU1yMkBBSMtTCQEou6TlXFor4i4OUyJpzkXgUzV34UTck7WJgXHdjlVlZeNKAuzMtdHCA/+FDsHBzY7edeXUGX5/5mq3fHnFbrzGSorUbUU1nxza2zzQhRHeohYGU3OZ+qkcbt8L3Iwbll8PcXO03pxtfH3vjYfh3Y+UJCXhcp67atqrhV9SShXX31qklC4OqDoKQ1k/h1eafLuaqCdBlrH66hgkK+UyzjR6G/7EnhGiAWhhI6eUey0AM2h+nn7LlBf1qwdmWBieaK6VUiqfNmqttC7h7R62+5PoSrLu3jq0PDhiMqQ2n6iU+kr/7598iLZH7zu0+IRDeWlykLT80hoEQ8gElDKRkHh/lymPPF7wfMRi/H3uMP848Y+sD6pfjMRrCp4T16xE7/ze1bR/WWACATFkmGm1tpPb89u7bUcO1hl7iI/mLf5OO0C2P1W7ie/o76y+AYrQeFNYKQQgxfpQwkJI5OZMru1Qo2WsltkCvlaoydW3Qi2P3o9WShcNft6ABjDpS1sEaC/vXgpXYMMf1vBg8BFk3b6ptC7h/T63+cbJwqM8h+Dr46jw2UrD9S2/izaMkAAAjEMBi3FSU8bGHwMpK5+cOcAnAnGZz4GxZcHLStG8lyHIUcHDTfTyEEP5QwkBKJu6R6tGvReH75cfCEqgzVLvxkEJ9uek6Wz78dQtU93LgMRrT5mgjxoD6PnyHkS9FSopasuA5axacPxmkts/QQ+p/m1eGXIGN2EYv8ZG8lAoltv0cjuSYTHZbo17+qNy1vd5iKGtXFr0r9S50n0r13PUTDCGEV5QwFCAkJAQhISFQKBRF72wu7v3LlTv/yl8cpEgyhRKVpx1h633qeFOyYMaeNGrMliuHXYCFq6va8xvub8CdeG4cw92Rd/UWG8lLqWDw11ehatuG/9IEDq7W/ARECDF7lDAUIDg4GMHBwUhNTYWjoyPf4RiGIz9wZc9SzM4hlwKRZ1Tlyh0B6hqjM1V/OqJWX9C/Fk+RmI+0bBmuvkiERCRC88r8zyDGKBRI+OsvxC3jFmCzrF5NLVmISo9Cxz3qC/edH0Rjk/h27+xbtfrIX5vBztkSjFKJ9HPnAAB2zZpBINbtGirxWfF4kPAADhIHBLkH5bvP60eJUMiUKFvJCZbWdElBiKmiv25SfBmxqseKrUv3emk6sHWgqjwjERAYZl9vYzf/yCMoc01uEjmvK4RCSs507XViFj5bfw3u9pYIn6a/biP5YZRKPKpRM8/2iv9yrYSRKZHota+X2vNbum6Bk5WTrsMjhWCUwOW93Fo3wavbcs/J5XgzdhwAoMq1qxDpOGG4HXcb3575FkFlgrCp66Z89zm94SHSk3IwYGp9uJenVkxCTBWNPCXFk5POlVtO5i8OUqTVZyPY8sUpbSlZMEMvBn2iVvecNTPPAOfcyUKASwBuDb+FWmWoJYpPCpkSb4/Zs/WGPT6aWELf05zSrKqEkPeohYEUz709XNmvecH7Ed7cfJWEPiu5hZ0OftUcXk7U59mcMAyD5z17Iecpt+5G7mlTPwi5FcKWC7t7TPTr70lhavX6Xf34CeQjNLMaIYQSBlI8B7/lOwJSiNVnIzD/yCO1bTW9aeyNPjE8346VxcTgWavWatsqnz+XZ7+o9Cisvr2arW/sslHXoZFiSHibrlbP3RWJpdbCoPuL+OJ8pj+EREkFIaaNuiSR4mGUqseq3fiNg+QRl5ajliw08HPG47mdeYzIvPFx3SRPSsqbLFy6CIsy6isB736yW22Q87I2y+hCz0BsnxPOlofPa1TInvpHi7IRQqiFgRQt6jZX7jCbvziIGoZh8OPeu9gW/prdtmJwHfSo7cVjVETfFOkZeNqkKVt36NoF3osX59kvTZqG2Ze4v99xtcehrW8+d7GJ3j28GMWWrcvKYG0vyX/HXC0M+sjzitVqpu9xFYQQXlDCQIq2uT9XdvHnLw6ipur0o5DKlWy9rKMVugWW5TEiom/yxEQ8bdqMrds0aZxvsgAATbdxSUVIuxC0LNdS5/GR4rkb+oYtu9TO5jESQgjJHyUMpGjZKarHxuMBoQa92MQ2QNff31eoiVsTYc/i1ZKFveOboo6vM48RkbKO1pjTqwasxPqZLjjr7l28GDCQrRfUsgAAF99xg+F97X0pWTAg2ekyxL1KAwAENPVEuiCtwH0FFhbwmDFdVZYU0AqhRQHOAZjWaBrKWJcpcJ/Gvf0hy1HAztlS5/EQQvhDCQMpHMMAihxVudGXmh1LbAU0HKN5TARD115hy4/mdNbbRSopmIutBMOb+OnlXPL4eLVkwb5D+3yTBYZhsD9iP6aHTWe37eu9Tx8hkmL6+ztuobxabb1x8frTAvcViMVwGTJEH2EBAHwcfPCJwyeF7hPQhFo1CTEHlDCQwu0exZXtPPmLgwAAUrJkaLcolK1/064yJQtmRpGejqfNW7D1MhMnwu3LL/Ldd9+zfZhxcQZbn9JwCsRC3S72RYov99gFBzcrOHnY8BgNIYQUjBIGUrCUN8D9vVxdbKXZ8RQy4NVlVdmvOT/TyRi5rsvOIz5dytYndqjCYzQkt/QcOe6+SYHEQoB65V10co7ELVsQM2cuWxfa2BSYLEgVUrVk4Z9O/6C+Z32dxEVKTiFT4vRGbo2MYT83gVwhL/Q1jEKBzGvXAQA29etBINLtzYKErAREpkTCXmKPAJeAfPeJikiBUq5EmfL2kFjRJQUhpoqmVSUFOzaNK48+qfnxctKADd1V/2hmjRJ7nZiJt8lZbP3G9A48RkM+9iI+A4PXXEbwlps6OX7C33+rJQuSSv6ocvlSvvtKFVLU21yPrQ+rNoySBQOza/41ttz/h/oQFGNFdiY7G69GjsSrkSPByGS6DA8AcDXmKj479hl+C/+twH2O/nkX+5bcRGo8DdYmxJTR7QBSsAf7VI/WzoBPA15DIcCGiy/Y8q0ZHeBko/tBj8QwvB4fjPTTp9m67/r1sG1c8Fz9HXarJ5M/NPxBZ7GRkomOTMGeBdfVtnlUcCjWaw3xPosBhkQI0QFKGEj+cjeNNxrLXxwEAPDH6adYe+E5AKCpvyslC2bk7eTv1ZIFv+3bYB0UlO++DMNgyfUlSMxOZLfdHXlX1yGSYpBLFTi3/YnauAUAGDanMU8RFQOt4kwIeY8SBpK/47m6I7X4H39xELxOzMTvx5+wdVqYzTDp4u5vZN++yHnA9XOvdPYsxB7uBe7fbFszpMm4aTmvDr2q/aBIiTEMgz+/Pqu2LaCJJ9qOqFbCi/FcHzJDuYh//8E3lHAIIbpBCQPJ35XVXFlEs6rwqcWCM2x58+hGaF7ZjcdoSFG0cuEklyN68vdqyUL5bVsLTRbGnhyrlizs7L4TVhYaTlRAtOLZtVi1+iczGsLVy46naIrvw0rPAlo3hxCzRwkDyevZKa48dDd/cRAAqgtQhgECPO0pWTADjEyGKtN+QnqubVWvX4PQ1rbA16y6tQphb8PY+pUhV2Ajpik6DcWN4y/ZcvDqtqU/EGOALQyEELNACQPJKzKUK1emmXj49Dg6jb1GCBlal99giF5E1K2nVq948L9Ck4X/Iv7Dytsr2fqZgWcoWTAQ0mw5oiNTEP9alf7V61Ke54hKh1oYCCGUMJB8vL9CLRuk3cOKrYEOP6vKdHesSHIl0D2EmzbT28max2hIUTwcLTG1SwBsLEv/tapIS1OrBzx8UGgf9wVXF2DTg01sfVOXTXCzplYoQyDNlmPNt+fUtgW199XomAJLS7hP/k5VFup+VvSqzlUxqd4klLUteDXnBt0qQCZVwMaBJmIgxJRRwkDyenlR9Vi+mXaPK7YGmn2j3WOasO/DuUWZhjbypRWdDZy7vRW+bOWv0TGipnMLrVW8fKnQZOFd+ju1ZOH3Vr8jyD1Io/MT7cmdLFjbi1ExqAysbDUbDyaUSOA6erSmoRVbRaeKqOhUsdB9AluX01M0hBA+UcJA8op9P9BSTHe0+XLuaTwUDHex+EufQB6jIfoQ2bMXcp6oZsNS2NgU2A1JySjRYVcHxGZxA2mP9D2CcvZ04WYoHoS9Y8vlA13RPbg2j9EQQojmKGEg6hgGkGWqyuW0vFibQgZE31GVvepSt6QCMAyD0RtvsPUnc7vwGA0prowcOZ7GpkMsEqCGl2OxXsMoFMi4eAmZVy6zyQIAvB47FlULeE3tjeoXnwOqDKBkwYAwDIMzmx6xdW0mC4xcjuwHDwAAVoGBOl8fISk7CW/S3sBWYouKjvm3NMS9SoNSwcDV2xYWEmoFJcRUUcJA1B2cyJV9C15JtlSyU4E172cImZFECUMBhq69wpYntK4IiYXu+yoTzT2LTUfvkDB4O1kjbErhM+EwSiUUCQl42qJlnucqXrqIJ+fO5dkuV8qx5PoStW1nB52Fi5WLZoETrZBmy7F9TjjSErLZbe0/rabVcygzMvBi4CAAQMC9u4CFbn/Cw96FYer5qWhctjHWdFyT7z4Hlt1CdoYMg2c2gkvZggfnE0KMGyUMRF0yN/0frJ35i8NMKZUMLkYksPWv22rWJ54YnthFi5CwZm2e7RJ/f3hMmQKhXd75+T8e3AwAN4ffhIWQvsINwc0Tr3BxzzO1bSKxEFUbFzxY2FQw0MGKhYQQg0O/NkRdxGnVY/NJ/MZhpoav41oX/hco13mXA6Jfkb16I+fxY7Vtdm3aoFzIH+ysNzKZTO35xOzEPMnCpi6bKFkwEFlp0jzJQv8f6sPdz177J9PFcuKFnq74C7fRVxUhpo1+cQgnLZore9XhLw4zxTAMwp5xrQu+hr8QLMmlqEs52du3asmC3+7dsKzkD6FV/qsxy5QyXI+6jjHHx7DbdnTfgequ1bURLtEChmGwbvIFtt5lbCAq1HbTT6JvKFfo1MBAiFmghIFwXodz5Wo9+IvDTJ18yM16c+67lrgZdprHaIi2MAyDtKNH8XYi12oXcPcOBOKCp9iUM3I03t5YrbuHh40HJQsG5s7pN2zZr5YbKgaV0en5GD23MHxALZ2EEEoYCCcrkSvTD4Tejdl4jS2XdbTCTR5jIdrBKJV4VL2G2jaXkSMKTRYAYHnacrVkoZ5HPfzZ4U+dxEhK78Kup2y56zg9T31M39GEED2ihIFwIs6oHgMH8BuHmXK2ESMpU4a+db35DoVoSeI/69XqnrNnw3nQwEJf8/nJz5Go5JL3a8OuwVJkqYvwiAay0qRsuXZ7H5O+C1+8MQym+99PCKGEgeT2YJ/qMTOx0N1KTWwNtJqiKtOPi5rXiZlIylQNdh2n4WrBhB+eDlb4pl1lOFirWg9efzkW6WfPss9Xe/SwyGPseLQDN2K5NTjCBodRsmCA0pOysWHqRbbeuGfhqyFri9DKCm7BwaqKHr5DqzhXwbja4+Bj71PgPnU6+kIhU8LSli4nCDFl9BdOVOJyzdxS+xPdnENiA7SZqptjG7m2i0LZcgU3WzBKBX/BkFLxdLTCxA5VoMzMxKO69cBkZrLPuU/5oVjHeJH6gi2f7ncaDhIHbYdJNCTNkqslCwFNPPW2YJnQ2hplvpqgl3MBQFWXqqjqUtASgir1OvvpJxhCCK8oYSAqUbe5cq3Cu0wQ7XoSkwaZQtVfPdDbERYiIWSUMBglRqlEzG8L1JKFSqFnIPb0LNbrtz7aCgCoK6kLJ0snXYRINKBUMlgzkVtUz6OCA9qNpIHohBDTRwkDUYm+q3q0cdXdORRyIOH9IMEyAdQtCcCknbfw7423bH3NiPo8RkM0kZmehXNtusBCqcCHUSgB9+9BICre3WeZQgYlowQAWNBXs8FRyJRY/VWo2rZ+39fTawyMXA7p8+cAAEmlSjofN5CSk4K4zDjYiG3gZeeV7z6JURlgGAZOZWwgEtOq9ISYKvrrJio5aapHx4L7qmosOwVY2Vj1j2DH1VdqycLMHtXh6Zj/nPzE8IVv2Yex7Sbjp6aqdRO8VywvdrJwL/4e6m6uy9bbW7XXSYykdBiGUU8WBMD4lW30PtBXkZKCyB49Edmjp17Ofeb1GfQ50AdzL88tcJ/dv13D9p/DkZaUrfN4CCH8odtYROXF+8WH/NvwG4eZyJYp8MOeu2z99P9aoWIZWqnNGDEyGaLn/oLE45eAVl8DKN4A5w8SsxMx+NBgtm4psoSN0EbrcZLSYRgGK8edUdvGR7JACCF8ohYGAjAM11XIypHfWMzE97vvsOVJHapQsmDEnjRuguQdO9i6yLVk3fqW31jOlkfVGIWLAy8WsjfRt+e349XqXyxvxV+yoOeF24q1UNz7XSh/IsS0UcJAgMdHuHL5ZvzFYSYi4tJx4PY7tv5V20o8RkM0kbR9O5QZGe9rqismoY11iY6x5+keAICPvQ8m1Z9Ed64NSFpiNo6s5loCx61sA7GeZkQyJPSZJIRQlyQCRJzmyj4N+YvDTLRbxM3Nvz+4Gf0YG6Gsu3fxYoD6bGLlt20FVl8q1iJXH8gUMrY8rNowrcVHNKdUKLHxR661p05HXwiFPP+tMoZ3O59rgzCcmAgh2kctDAUICQlB9erV0aBBA75D0b2ra1SP3jRDj66lZHEXiD1qe6G2jxN/wZBS+zhZ8Nuzu1QXcRsfbGTLnwToaP0TUirndjxly+UDXdG0r/m2BJYkCSaEmCZKGAoQHByMBw8e4OrVq3yHolvZqVy53kj+4jATP/7LdW9YNKA2j5GQ0npYM5Ati728UPX6NVjXqFGqY12LucaWhQL6OjYk989xM5h1G1+Lx0jyYUAtDB8YYEiEEC2iLknm7uBErlxnuG7PJbYCmn6l23MYsMi4dBy6G8XWJRZ0gWhMGLkcj3IlCwDgf/IEBELV++jhYIkvW1aEo424eMdjGFx4q5qdrJ1vO+0GSzSSe7Bv5y9qGky3QYG1DVxGf6a3eCo7V8aoGqNQwbFCgfvUblsOSjkDiTVdThBiyugv3NxFc3e8dX6LSGILdCx4Pm9TplQyaJtr7MLkTlV5jIaUlDIrC4/r1FXbVvX2LTZZAIByzjaY2rVasY7HMAza7+LWWuhfpb92AiVaEf7fc7bsW0OHi1mWkMjOFh6TJ+vtfDXdaqKmW81C92ncy19P0RBC+EQJg7mLf6x6bPYNv3GYuDdJWWy5Tx1vfNmyIo/RkJJ6PeYLtXrAg/tqyUJJnXp1CrFZsWy9uXfzUh+LaN+1wy/YstjS/GZFIoSQj1HCQFR8m+j+HEoFkPJGVXbyNatOrxN33mLLSwYF8RYHKTmGYZB5jRtrUNCibNkyBeLScmAhEqCsY+FTq04M5boCXhlyRTuBEq1QyJVsuX43P/4CyQcjl0MWHQMAkJTz1vn5MmQZSM5JhpXICq7W+be0pCZkAQxg52wJoYi6WRJiquiv25y9uc6Vy+lhOtWsJGBZLdU/M0oWAOD6yyS+QyCllLR1K1v2mDG9wP3uv0tBiwVnMPivy4UeL0vOtTZ19usMGzGt6mwIlEoGZ7c9xuoJoey2uh3L8xdQPuQJCYho3x4RnTvr5XxHnh9B5z2dMfvS7AL32TrrCjb9dAnpyTl6iYkQwg9qYTBnLy9wZVvD6adrauQK7o7lji8a8xgJKSnpy5eImcONu3EeNEjjYw45NIQtz2k2R+PjEc3JchTY9NNFZKVx0x7bOEjMvjsSA/2uLE0IMVyUMJizDzOBuNCgNV3Ilimw58YbTNt7j91Wx9eZx4hISUV04u7kei1cAIGo4AtIphjXVm/T3+JZ8jMAgEQogZWFlcYxktKLf5OO42vvISk6U2172xHVUKWBB09RFcIAF24DG5IBxUQI0TpKGMzZyZmqR1+6660LA/+8hDtvUth6owouNJWqkch+8gTPe/Zi604DB8KxRw+Njjn70mzsfrKbrZ8eeLqQvYmu5WTJsWNuuNo2awcJhsxsBCvb4k2Na+o+TC9LC7cRQihhMFfpcVzZypG/OExUllShlizM6xOIIY18eYyIFJciPUMtWQCAsj8X3If7Y/ndab0Xf08tWRhUdRAcLenvjk9rJ55jy5Xqu6NxL384lil8sLqhoMt3Qoi+UcJgrt7d5Modf+EvDhPVfjG35sKjOZ1hJTbvvtDGIOHvv5G0dRtkb7kVfp2HDoXHT9OK9frCeiQNPjSYLe/ovgPVXIq3XgPRjV2/XmXLVnZidPq88LUGDEZx+r3pGY1zIMQ8UMJgrkJ/fV8QABrMJ0/yehiVirfJ3Ew4lCwYvldjvkDG+fNq26zr1oXn9J80PnZUOre6d6tyrVDdtbrGxySl9+JuPGJfprH1T+c34zGaUtLzeIHijE+gIQyEmDZKGMzVuxuqx6pd9HdOC0ugwef6Ox8PnsdnoMsy7sJzz7imPEZDiiNx8xa1ZMF3/XqIHB1gWbVkq3G721tieOPycLGVsNukCik67unI1he0XKB5wEQjh0LusOUxS1tCZETjioQ2NnAeMhgQ6een29/JH4OqDkJVl4L/Fmq28IZSycBCQjdGCDFllDCYo9R3XLlqV/2d19Ie6LZIf+fjQZvfQ9ny6OYVUK88zYpkyBi5HDFzuWlTq1wNh8jevlTHKu9qizm9VV1bZEoZFoQvwPbH29nnve28ac0FnilzTXFctZEnJFbG9RMocnSE54wZejtfPY96qOdRr9B9WgyqoqdoCCF8Mq5vS6Iduccv1B5c8H6kRFIyuTncRzYpj+ndqeuJIVNmZ+NxUB227r10aamThY9NOjMJoW9C2bqXrRc2d92slWOT0jv6FzfFcfOBlXmMhBBCjAslDObo6FTVo3d9vTVtAwCUSiArUVW2ddPfefVg4OpLCH+RyNZn9zKSQZRmSvrmDSLad1DbZt+pYwF7F/OYciVSs2W4FXtDLVnY0nULapWppdGxieZCtz7G89vxAFTTpxrj1KmMQgFFcjIgEMDCxUXn58uSZyFTlgmJSAJ7Sf7JdGaqFIBq8LhQSAMZCDFVlDCYG7kUSH6pKmenFL6vtmUmAL9XUpVn6fncOhSblq2WLDSrRKtmG7KIbt0hjYhQ2xZw/57GC08df/wEEzZFQCiJhe37tRA3ddlEyYIB2D4nHAlv09n6gCn1eYym9OTR0XjWrj0EVlYIuHWz6BdoaP+z/fjlyi/oUL4DFrdenO8+66eEgVEy+PS3ZrB1tNR5TIQQflDCYG7u7ODKIw/wF4eJyMiRo+Evp9j6qf+1QnkX6qduqGKXLlVLFiwrV0KFffsKXcG5OAYdHIQ7rzMBjGW3TWs0DUHuQRodl2ju5olXasnC6EUtjLJ1AdD/rKrFmjLVAKd6JYRoHyUM5ub871zZwYu/OEwAwzCoMfMYW+9Txxv+Zex4jIgUJPvxYyT+sx4p+/ax26reuA6hjebJ3d6ne/Eg4QEAP3bbuk7r0MCzgcbHJprJzpDh4p5nbP3L5a1oNh9CCCkFShjMTdIL1WPNfryGYewYhsHoDdfYevNKblgyKIi/gEiBZFFReN6rt9o2/xPHtZIshEeFY8bFD7PWqLo0+TlWoGTBAEiz5fj7f9x0ud3G1zKBZOH93Xw9LXrAvG89EBSytjS1LxBiHoxnAmqiOYWcKwcO5C8OI5ecKUWFqYdx+lEsu23z5414jIgUJGn7Djxr05at2zZvDr8d2yHx8dH42G/T32L08dFsfWqDKQBQyKUV0QeGYRAdmYIdv3CrOZet5Ai/WqY10QIhhOgTtTCYk4SnXNm/bcH7kUJN3HFLrX7hhzb8BEIKFb96NeKWLmPrrmM+h/v//qeVY8uVcnTe05mtz2wyEz4WVQFc1srxSekdCrmDl/cS1Lb1/a7wtQSMhp7HCxRvDIPu4yCE8I8SBnPy9jpXFhnnoD++JWVIceZxHFuPnNeVphI0MNmPHiFhzVqkHjrEbiu3aiXs22gvsdv6cCtbdrd2R/8q/XElMqGQVxB9YJSMWrLgXt4ebUdU4zEiHdFTlyTudEWfT9NZxgghho0SBnNyd5fqsWxtvf/gAAAsLIHaQ/R/Xi1IzpQi6OcTatvm9K5JyYIBSTtzBtE/z4E8Kkptu9+e3bCuUUOr5zr1ipsZ60i/IwAAN3tL9KtbDu4ONLUkH24ef4WL/3IDnMcsbWl0KzkXRWhjA8fevSGQSPRyvgqOFdDTvycC3QIL3CegsScAQCSmHs6EmDLT+jYlhYsMVT1aO/NzfisHoM8qfs6toTEbr6nVA70dMayRL0/RkPy8GTderW7XqhVcvxij9WRBppThRuwNAMC3db+FRKS6ePMvY4dFA2tr9VykaAzD4Nm1WLVkwbmsrcklCwBg4eoKr/m/6u18Tb2aoqlX00L3afcprWhPiDkwvW9Ukr/n57hyC+304zYnlhbc7CoPfu4EGwn96RgKpVSK5z16snXnIUPgFjweFq7aX0Bv68Ot+DWcu2Br4tVE6+cgJXPj2Etc3hfJ1nt+HQSvqk78BUQIISaIrnrMRe7xC34t+ImBYQBZpqosseUnhlLIyJHjwrN4AMAfQ+pQsmBgEtasgfTlS7bu8dM0CITa7x6x5eEWzA+fz9YdJA6o7srdXVUoGeTIFRAKBLASG/v0ncYhIyVHLVloMywAPtVdeIxItxilEkx2NiAQQGhtrfPzyZQyyBQyWAgt2JY0tXgYBnKpEgBgIRHSOAZCTBh1OjQXr8NVj151+Rm/AAAZ8cA8L9U/I9JlGTeXex1fnrpzkXxlP3iA+BV/sPUq167qJFnIUeSoJQvzms/DhU8uqO0T/jwR1WccQ48VFz5+OdGR/5bfZstthgegenPj+m4pKdnr13hctx6etmipl/PteLQDjbY2wk9hPxW4z1/fnMVf35xFdoZMLzERQvhBt0rNRcRp1aMR3dk3BAuOPsKrRFWriJ2lBbyddH9XjxRMmZkJWXQ0GLkcsQt/R8Z5Lpkr+8svENlpf6Xt2MxYtNvVjq1v77YdNdy0Oy6ClNzd0DdIeJsOAPCo4IDqzUw7WeBDkdOq0pSqhJgNShjMhTxb9ejbmN84jEhShhQrQyPYOq23wJ/Uo0eReugQ0k6czPd5t+BgOPXrq/XzKhmlWrJQ170uJQsG4sZxrhtaj6+D+AtEn/S8DkNJFLYaNCHE+FHCYC6c/YCkF4B3fb4jMRp15nDTqIZ+1xpONvqZypCok754gbffTlTbJnJ0BKNQwKJMGfisWgmJn59Ozj3r4iy23KF8ByxqtajAfYu1yBXRCmm2HOmJOQCAtiMCYGltZj9leupWyrxPUApKBugTT4j5MLNvWTOW9EL16EDN9kWRKZSok2vNhQBPe/i5UVcuPiilUkR07sLWy3z7DexatYJVNd0uxhUeFY7jL49j77O9AAArkRUWt15crNfSuE/dUSqUuHr4Ba4desFuK+PrwF9AhEOfe0JMGiUM5kAu5cpW9ONalIN33iE9R87Wj3zD06xSBElbcq2oPHkyXEd/pvNzPkx4iNHHR6tt+7A4G+GHXKbA2yfJOLjittp2Fy9buHqbTzLP6LlLUtFjGKiNgRBzQQmDOZBncWX7svzFYSTOPIpjyxHzutJUgTxQpKcj7dhxxP72m2qDhYXOk4U0aRq+Pv01rsVwi/T19O+J/lX6w83aTafnJgVTKhnsWXAd8a/T1bZ3C64Fv0AzfV/0/J1E34GEEEoYzIGCu1sOoZi/OCwkQPVe/J2/mC5HJgAAGlZwgUhIP5R8eN6rN2Rv37J1n5UhOj/n1eiraslClwpd8EvzX4r9eldbS3QLLAtPRytdhGe2/pl8QW3KzoCmZdFmWACEZvi3KbSxhX3nzhBa6ecz5ufgh47lOyLQLTD/HQQC+Nd1V8UmMr/3gxBzQgmDOUh7p3oUCAEdzFFfbFaOwMCN/J2/GKJSshCbphpMWcfXid9gzBAjlSJx0ya1ZKHMt9/CrqVu553PkmfhmzPfAAACXAIwr/k8VHKqVKJjVPW0R8jQuroIz2wpFEq1ZOHzxS1gacPjTQ+eiT3cUW7pEr2dr5VPK7TyaVXg80KhAJ2/qKm3eAgh/KGEwRxE31U9Mkp+4zACfVdeZMtDG5bnMRLz9LhBQzA5OWy98qWLsHDW7WJ5DMOg4ZaG3DmdKqOyc2WdnpMUT+LbDLb85YpWsKAVtAkhhBe00rM5eHVJ9Vi+Gb9xGLiDd94hKkW1XkXrqmXg62rDc0TmJfPaNbVkwWfNXzpPFgDgTvwdtlzBsQJ+bvazzs9JiufEuvtsmZIFQgjhDyUM5uDG+25A8pzC99O19FhglqPqn4FJypBiwtabbH3JwCD+gjFDSTt34uWw4Ww94P492LXQz+xUYW/D2PKB3gdgISxdw2vYs3j4TTmETkvOaSs0s5cUrVpl3dmTkncAyImIwMOAanjSSD8LcK6/tx6BGwLx4/kf831eIVciZOxphIw9jZxMWb77EEJMA3VJMnW5p70rW4u/ONQY3uC4zzfmGuxa0xPOtrRIm64xDIPsu3eRtG07UvbuZbd7LVwIgUg/d5OVjBKrbq8CAEiE2nnPaUIZ7UhLzGbLLQZW4TESA6SvhdveT6ta4CxJuWdVpQ8+ISaNEgZT94a7EEajsfzFYcAYhsH1l0kAAF8XG6ykgat6kbBmLeIWqy+GVn7TRtg0aKC3GGpvrM2WJ9WfpLfzkqLdPvWaLZcL0H3XNKNgwOseULpAiGmjLkmmLpWbbQZudJcuPwduv2PLe8c3pTnH9SDt1Cm1ZMGyWjX47dql12Rh1a1VbFkkEGFIwBCNjmfA13JG6e0TVRLv6m0LgRlOoVooPbcwlPZ5QojpoBYGUxdzT/VYsQ01Gefj2otEfLP9Flt3tbPkLxgzkPP0KZK270DSli3sNu8Vy+HQoYPeY7kbf5ctXx12lRJFA5KakMUu1OZTzYXnaIigOO0H9OdDiEmjhMHUnVuoeuR7wLOB2nPjDVv+um3J5t0nxZd19x5i5s9H1vXratvLTJrES7LwKvUVzr89DwD4X73/QczngoZEjVLJYPdv3OcksHU5HqMxMHpuxmKKOh81MBBiNihhMHUuFYHESKCCfmacMSYMw2BbuKqfdO8gL3zbnrps6UrUj1OR8/QZW7dt3hyun38O28aNeInnwyJtABDkHsRLDCR/6yafR06GanV6v1pucHCz5jkiA6Tn1jBqfSOEUMJgyhhGlSwAqi5JfBOJgcodYSht1zdeJbHlYY3LQ0j9pHXmQ7JgXbcuvJcshtjDg5c4lIwSbXa2QWJ2IgCgQ/kOWksYnGzEaFO1DMo50xSgpRX7MpVNFgCgzbAAHqMxPEIbG9i2agmRnb1ezlfOvhxaeLdAZaf8FzIUCAQoX9NVFRt9fxJi0ihhMGVSbpVUuBpAdxtrZ2DoLr6jYC04+pgt1/ejftK6kvP0KVv2+PFH3pKFC28vYNzJcWrbZjaZqbXj1/R2xD+jGha9IynQ2a3c3+S4kNYQimhejtzE3t7w/fNPvZ2vk18ndPLrVODzIrEQ3SfULvB5QojpoG9jU5YWzZVtXPmLwwA9jk7Dleequ8wSuijRCUapROKGDYjs0ZPdZlWjOi+xKJSKPMnC9WHX4WhpeIsImqPUhCxcO/wcsS/TAABVG3tSskAIIQaEWhhM2fV/VI+2ZQAh/fjmtvZ8JFs+/A2N79A2eVISXvTrD9k7bspay8qVeesLfSf+DlueVG8S+lfpD4mIFuczBAzDYNO0S2rbmvY1gBZRQgghLLqKNGWX/lA9ZsTxG8cH6bHAL2WBX7z4jgSH7kYBAGr7OKGSux3P0ZgWRqnE0yZN1ZKFMt9+C9+NG3iJR8koMeLICLY+quYo2Eu03wc87Fk8AqYfQZ+VYVo/tinbs4CbEcnNxw5dx9eCjQMlc/nJfvIEj4Lq4Fm79no53/p769FgcwPMuTQn3+el2XL8+VUo/vwqFLIchV5iIoTwg1oYTJU0kys3N5AVbBkGkGWC70HPUSlZyJSqftz61vHmNRZTlLR1G1u28PREhV07YVGmDG/x/HDuB7Y8qsYonZ1HoWSQLVMiR6bU2TlMRXJsJmTZCpzb/hgxz1MBADYOEgyaRmNACsUwYLKzoczRzzTZMqUM2YpsyBl5gfvIP3zeacwzISaNEgZTlfySK7edzl8cBqjvyotsuV89muNd22LmzmXLlUPP8BiJytEXR9nypPoGkjybKYZhsHv+NXaswgdiSxGGzm7MU1RG5MO6CHq+OC/Owm2ULxBi2ihhMFUx97kyjV9gpWTJEJWSDQBwt7eEnSX9CWhLRng4Ev5aw9bdv/sfj9GonH19li3v6blHp+eiNayKdvTPe2rJgo2jBHZOlugythYk1vS3aGgY+lQTQt6jb2hTlaJakAwO1OXmgzdJmWj+G3fH+7+vmvMYjWlhpFK8GjFSbZvL6NE8RcOZcHoCW67irJ+F+WiNq/xFR6Yg8hY3nmr07y1gZUcrbJdGce74650BhkQI0R5KGEzVpZWqR0oYWF9s5AZXtqjsBg8HKx6jMS1PW3MLAzoNGgSXYUN5Xx32aRK3/kO3it14jMS8MQyDw6vu4sWdeHbbZwubU7JQGox+7/gzRZ2PGiAIMRuUMJgihQzIiFWVhfQWf/AgSjW40tlGjA20wJZWyBMTkfrff1Akqta0EPv6ouzsWfwG9V7fA33Z8vTGNI6HD/Fv0rF/6U1kp8vYbUHtfWBtT7MgaUTPyXhxkn+DbPUghGgNXU2aomcnuXK33/mL42MiMVC+OS99NnLk3JR/07pVh1BIP26ayomIQGSv3oCcm0HF/9BB/gJ6j2EYrL6zmq2PCRwDW7Gtzs/raC1GowouqOCm+3MZg1cPEvDf8ttq24bPbQIHN2ueIjJ+Qhsb2DRoAJGzs17O52Xnhfoe9VHevny+zwuEAnhVdnpf0UtIhBCeUMJginKv8OxRg784PmbjAow6xMupe/3BzY3fpaYnLzGYmpR9+9lkQWBlhXIrlkMg5r+byf2E+1h5ayVb/6rOV3o5b5CPE3Z82UQv5zJ02RkytWShcgMPNB9QmdZX0JCkfHmU37RRb+fr4d8DPfx7FPi82FKEPv+rq7d4CCH8oYTBFCU8Uz360QrGADDn4AM8ilbNzGJpIYQtzYyksdQjR5CwRjUjksjFBVUuGs5iZTsf72TLG7ts5H0shTnaOvsKW27arxLqdPDlMRpCCCGaovk2TVH8+8GeAnp7kzOl+PvCc7Ye/qN+Vkg1dQn/rGfLHtN+5C+QjzAMg73P9gIAyliXQR33OjxHZH4YhkFWqhQAILIQIqi9D88REUII0RRdUZoiWzfVo50Hv3F8LD0WWFARWFhJb6ecuOMWWw6f1g6ONvx3mTFm8rg4RE2fjuw7dwAArmM+h2M3w5mB6LNjn7FlfS/SFvYsHnXnnMDQtZf1el5D8zAsii1/+lszauHRouzHj/GkSVPV2CE9+OfeP2i5vSUWXVuU7/M5mTL8/d15/P3deSgUtMI5IaaM+maYoswE1aN3PX7j+BjDqGLTU8tHllSBM4+5ed/d7WkaVU09bdFSre7Uvz9PkeSVJk3DtZhrbL1bBf0mMlKFEokZUqRmyYve2YSd2fyILVvZUoKuTYxcDkVSEgSWlno5X7Y8G0k5SciUZeYfDwN2BixKCwkxbZQwmKJ3t1SPIvP9sb79Ohm9Qrh+9QdpkTaNRU2fwZbF5cqh3PJlkJTPf/YUPswPn8+WwwaH0Z1tPbtz5jUeX+YmXGj/aTUeozFRel73gFZ6JoR8YPIJQ3JyMtq3bw+5XA65XI5vvvkGY8aM4Tss3Up//6MttuE3Dh7lThbc7CSo6e3IYzTGTymVInnXLrbuf+woBCIRjxHlFZMRw5YdJA76D8CMr60S32Xg/I6natuqNKLZyLTuw0JqhrIOA6O2k15iIYTww+QTBnt7e5w7dw42NjbIyMhAzZo10bdvX7i6uvIdmu7YuAGZ8YCTec5MkpwpZcuD6vtgTu+aPEZjvBilEjFz5yInIhKZV7hZbyqFnjG4ZOF+/H1ciVbF+L96/+M1FnO8bjq06g5bbj20KsoFuFALDyGEmBCTTxhEIhFsbFR32nNycsAwTNHL3Ru7zHjVo7353eFjGAZBP59g6zN7VofEgsb2l8a7739A6kH1hdiE9vYQexre5+qPW3+w5UrO+htUT1R/c6lxWQAAV29b1GjhzXNEpky/v11FdUmiLkuEmA/er6TOnTuHHj16wMvLCwKBAPv27cuzT0hICPz8/GBlZYVGjRohPDy8ROdITk5G7dq1Ua5cOUyePBlubm5ait4AyXO4siUP3TJ4tvjEE7bc1N8VNhKTz4l1InHjRrVkwXvxIpT7YwUqnw3lL6gCKBklLry9AABoXa41mnk14zki86FUKLHm23NsvfXQAB6jMSN6brwRFOOE1J5EiGnj/WoqIyMDtWvXxmeffYa+ffvmeX7Hjh2YNGkSVq9ejUaNGmHp0qXo1KkTHj9+DHd3dwBAUFAQ5PK8M5McP34cXl5ecHJywu3btxETE4O+ffuif//+8PAwsClHtUXBdceBpT1/ceRHaAF41dHZLElyhRIrTj9j61s+b6ST85g6RqlE/F9r2HrFg//BspJh3rW/9O4SJp+bzNYn1JnAW1cYeysL1C7nCH93O17Oz4ed865BlqNg654VaayQLgmtrWEVGAgLPd30crdxR03XmihrVzb/eIQCuJc3sN8ZQohO8J4wdOnSBV26dCnw+cWLF2PMmDEYNWoUAGD16tU4dOgQ1q1bhylTpgAAbt26VaxzeXh4oHbt2jh//jz6FzAdZE5ODnJyuLv0KSkpAIDExETIZLJinUdbZDIZMjMzkZCQALG4mDMeZaVAnKNqJpYlpwDCDB1GWAr99qgeExK0fujLzxOhzFFN/7d2RB0kJiZq/Rz6Uqr3XgvS/vsPcb8tAN4n4GWm/Yh0Z2ek6+D90lSWPAujD4xW2+bGuCGBp1gr2APrBqtmBtIkBr7e+5JQyJQ4+td9xL5IY7eN+LUxb//vTUWR772zMxxWrwKg2WesuNq6tkXbJm0LPV/bLyoCABKTjPf71hAYw9890T6+3/e0NNV3eLG66jMGBACzd+9etp6Tk8OIRCK1bQzDMCNGjGB69uxZrGNGR0czqampDMMwTHJyMlOjRg3mzp07Be4/c+ZMBqqOovSP/tE/+kf/6B/9o3/0j/6Z9L/Xr18XeT3NewtDYeLj46FQKPJ0H/Lw8MCjR48KeJW6ly9f4osvvmAHO3/11VcIDAwscP+pU6di0iRuhVilUonExES4urrqvatDamoqfHx88Pr1azg4mN94BHNG7735ovfefNF7b77ovTdPfL/vDMMgLS0NXl5eRe5r0AmDNjRs2LDYXZYAwNLSEpYfraLp5OSk3aBKyMHBgb5AzBS99+aL3nvzRe+9+aL33jzx+b47OjoWaz/eZ0kqjJubG0QiEWJiYtS2x8TEwNMAp3YkhBBCCCHE1Bh0wiCRSFCvXj2cOnWK3aZUKnHq1Ck0adKEx8gIIYQQQggxD7x3SUpPT8ezZ9xUmM+fP8etW7fg4uICX19fTJo0CSNHjkT9+vXRsGFDLF26FBkZGeysSabM0tISM2fOzNNFipg+eu/NF7335ovee/NF7715Mqb3XfB+diLehIaGok2bNnm2jxw5EuvXrwcA/PHHH1i4cCGio6MRFBSE5cuXo1EjmmOfEEIIIYQQXeM9YSCEEEIIIYQYLoMew0AIIYQQQgjhFyUMhBBCCCGEkAJRwkAIIYQQQggpECUMPAsJCYGfnx+srKzQqFEjhIeHF7r/rl27EBAQACsrKwQGBuLw4cN6ipRoW0ne+zVr1qBFixZwdnaGs7Mz2rdvX+RnhRiukv7df7B9+3YIBAL07t1btwESnSnpe5+cnIzg4GCULVsWlpaWqFKlCn3vG6GSvu9Lly5F1apVYW1tDR8fH0ycOBHZ2dl6ipZoy7lz59CjRw94eXlBIBBg3759Rb4mNDQUdevWhaWlJSpVqsROAMQ7hvBm+/btjEQiYdatW8fcv3+fGTNmDOPk5MTExMTku39YWBgjEomYBQsWMA8ePGB++uknRiwWM3fv3tVz5ERTJX3vhwwZwoSEhDA3b95kHj58yHz66aeMo6Mj8+bNGz1HTjRV0vf+g+fPnzPe3t5MixYtmF69euknWKJVJX3vc3JymPr16zNdu3ZlLly4wDx//pwJDQ1lbt26pefIiSZK+r5v2bKFsbS0ZLZs2cI8f/6cOXbsGFO2bFlm4sSJeo6caOrw4cPMtGnTmH///ZcBwOzdu7fQ/SMjIxkbGxtm0qRJzIMHD5gVK1YwIpGIOXr0qH4CLgQlDDxq2LAhExwczNYVCgXj5eXF/Prrr/nuP3DgQKZbt25q2xo1asR8+eWXOo2TaF9J3/uPyeVyxt7entmwYYOuQiQ6Upr3Xi6XM02bNmXWrl3LjBw5khIGI1XS937VqlVMxYoVGalUqq8QiQ6U9H0PDg5m2rZtq7Zt0qRJTLNmzXQaJ9Gt4iQM33//PVOjRg21bYMGDWI6deqkw8iKh7ok8UQqleL69eto3749u00oFKJ9+/a4dOlSvq+5dOmS2v4A0KlTpwL3J4apNO/9xzIzMyGTyeDi4qKrMIkOlPa9//nnn+Hu7o7Ro0frI0yiA6V57w8cOIAmTZogODgYHh4eqFmzJubNmweFQqGvsImGSvO+N23aFNevX2e7LUVGRuLw4cPo2rWrXmIm/DHk6zzeV3o2V/Hx8VAoFPDw8FDb7uHhgUePHuX7mujo6Hz3j46O1lmcRPtK895/7IcffoCXl1eeLxZi2Erz3l+4cAF///03bt26pYcIia6U5r2PjIzE6dOnMXToUBw+fBjPnj3D+PHjIZPJMHPmTH2ETTRUmvd9yJAhiI+PR/PmzcEwDORyOcaOHYsff/xRHyETHhV0nZeamoqsrCxYW1vzFBkNeibE6MyfPx/bt2/H3r17YWVlxXc4RIfS0tIwfPhwrFmzBm5ubnyHQ/RMqVTC3d0df/31F+rVq4dBgwZh2rRpWL16Nd+hER0KDQ3FvHnzsHLlSty4cQP//vsvDh06hDlz5vAdGjFj1MLAEzc3N4hEIsTExKhtj4mJgaenZ76v8fT0LNH+xDCV5r3/4Pfff8f8+fNx8uRJ1KpVS5dhEh0o6XsfERGBFy9eoEePHuw2pVIJALCwsMDjx4/h7++v26CJVpTm775s2bIQi8UQiUTstmrVqiE6OhpSqRQSiUSnMRPNleZ9nz59OoYPH47PP/8cABAYGIiMjAx88cUXmDZtGoRCutdrqgq6znNwcOC1dQGgFgbeSCQS1KtXD6dOnWK3KZVKnDp1Ck2aNMn3NU2aNFHbHwBOnDhR4P7EMJXmvQeABQsWYM6cOTh69Cjq16+vj1CJlpX0vQ8ICMDdu3dx69Yt9l/Pnj3Rpk0b3Lp1Cz4+PvoMn2igNH/3zZo1w7Nnz9gkEQCePHmCsmXLUrJgJErzvmdmZuZJCj4kjQzD6C5YwjuDvs7je9S1Odu+fTtjaWnJrF+/nnnw4AHzxRdfME5OTkx0dDTDMAwzfPhwZsqUKez+YWFhjIWFBfP7778zDx8+ZGbOnEnTqhqpkr738+fPZyQSCbN7924mKiqK/ZeWlsbXfwIppZK+9x+jWZKMV0nf+1evXjH29vbMhAkTmMePHzMHDx5k3N3dmblz5/L1n0BKoaTv+8yZMxl7e3tm27ZtTGRkJHP8+HHG39+fGThwIF//CaSU0tLSmJs3bzI3b95kADCLFy9mbt68ybx8+ZJhGIaZMmUKM3z4cHb/D9OqTp48mXn48CETEhJC06oSlRUrVjC+vr6MRCJhGjZsyFy+fJl9rlWrVszIkSPV9t+5cydTpUoVRiKRMDVq1GAOHTqk54iJtpTkvS9fvjwDIM+/mTNn6j9worGS/t3nRgmDcSvpe3/x4kWmUaNGjKWlJVOxYkXml19+YeRyuZ6jJpoqyfsuk8mYWbNmMf7+/oyVlRXj4+PDjB8/nklKStJ/4EQjZ86cyfe3+8P7PXLkSKZVq1Z5XhMUFMRIJBKmYsWKzD///KP3uPMjYBhq3yKEEEIIIYTkj8YwEEIIIYQQQgpECQMhhBBCCCGkQJQwEEIIIYQQQgpECQMhhBBCCCGkQJQwEEIIIYQQQgpECQMhhBBCCCGkQJQwEEIIIYQQQgpECQMhhJgYgUCAffv26f28fn5+WLp0qUbHyMzMRL9+/eDg4ACBQIDk5OR8t5XkXOvXr4eTk5NGcRFCiDmjhIEQQoxIXFwcxo0bB19fX1haWsLT0xOdOnVCWFgYu09UVBS6dOnCY5T5mzVrFgQCQZ5/AQEB7D4bNmzA+fPncfHiRURFRcHR0THfbVevXsUXX3xRrPMOGjQIT5480dV/FiGEmDwLvgMghBBSfP369YNUKsWGDRtQsWJFxMTE4NSpU0hISGD38fT05DHCwtWoUQMnT55U22Zhwf0URUREoFq1aqhZs2ah28qUKVPsc1pb/7+9ewuJqmvjAP4f0xx1mhIjGxJE00QzEVMrw9EK0aRJzJIsEDXNLgK7kEQ8MXagQvOiIMJA8xBBaWaGmWmiGFGWM4bKVGJE5VQUKkPhcX0XwXyNzfBq9n6+vt//Bxtm7f3sdbraD2vt2Xaws7ObR6+JiP6/cYWBiGiRGB4eRkdHB86ePYtt27bB1dUVwcHByM7Oxu7du41xM7ckPXr0CP7+/pBKpQgMDERdXR0kEgk0Gg0AoK2tDRKJBC0tLQgMDIS9vT1CQkKg0+mMdQwMDCAmJgbOzs6QyWQICgr65cF/NqytrbF69WqTY+XKlQCA8PBwFBcXo729HRKJBOHh4WbPAb9ufxoeHkZ6ejqcnZ0hlUrh6+uLhoYGAOa3JN2+fRsBAQGQSqVwd3eHWq3G5OSkyRxeuXIFsbGxsLe3h6enJ+rr603q6O3txa5duyCXy7Fs2TKEhoZiYGAA7e3tsLGxgV6vN4k/duwYQkND5zxnREQLjQkDEdEiIZPJIJPJUFdXh7GxsVndMzo6CpVKhQ0bNuD58+c4ceIEsrKyzMbm5OSguLgYXV1dsLa2RkpKivGawWBAdHQ0Wlpa0N3djaioKKhUKrx9+/aPjA0AamtrkZaWhi1btmBoaAi1tbVmz800PT2NnTt3orOzE1VVVejr68OZM2ewZMkSs+10dHQgMTERGRkZ6Ovrw+XLl1FeXo5Tp06ZxKnVasTHx6OnpwfR0dE4ePAgvn79CgB4//49lEolbG1t0draimfPniElJQWTk5NQKpVwd3dHZWWlsa6JiQlUV1ebzCkR0aIhiIho0bh586ZwdHQUUqlUhISEiOzsbKHVak1iAIhbt24JIYS4dOmScHJyEt+/fzdeLy0tFQBEd3e3EEKIhw8fCgDiwYMHxpi7d+8KACb3zbR+/Xpx4cIFY9nV1VWUlJRYjC8oKBBWVlbCwcHB5EhPTzfGZGRkiLCwMJP7zJ37ua2mpiZhZWUldDqd2XbLysrE8uXLjeUdO3aI06dPm8RUVlYKhUJhLAMQubm5xrLBYBAARGNjoxBCiOzsbOHm5ibGx8fNtnn27Fnh7e1tLNfU1AiZTCYMBoPZeCKifzKuMBARLSJxcXH48OED6uvrERUVhba2NgQEBKC8vNxsvE6ng5+fH6RSqfFccHCw2Vg/Pz/jb4VCAQD49OkTgB8rDJmZmfD29saKFSsgk8nQ398/5xUGLy8vaDQak6OwsHBOdcyk0Wjg4uKCdevWzSpeq9WisLDQuGIjk8mQlpaGoaEhfPv2zRj383w4ODhALpcb50Oj0SA0NBQ2NjZm20hKSsLr16/x+PFjAD+2RcXHx8PBweF3h0lEtGD40jMR0SIjlUoRERGBiIgI5OXlITU1FQUFBUhKSppXvT8//EokEgA/tvsAQGZmJpqbm1FUVAQPDw/Y2dlh7969GB8fn1MbS5cuhYeHx7z6OdNcX2g2GAxQq9XYs2fPL9d+TqxmJgMSicQ4H3/V5qpVq6BSqVBWVgY3Nzc0Njaira1tTv0kIvqnYMJARLTI+fj4WPzugpeXF6qqqjA2NgZbW1sAwNOnT+fcRmdnJ5KSkhAbGwvgx0P3mzdvfrfLf5Sfnx/evXuHly9fzmqVISAgADqdbl6Ji5+fH65evYqJiQmLqwypqalISEiAi4sL1q5di61bt/52e0REC4lbkoiIFokvX75g+/btqKqqQk9PDwYHB3Hjxg2cO3cOMTExZu85cOAApqencfjwYfT396OpqQlFRUUA/ruKMBuenp6ora2FRqOBVqs11jtXk5OT0Ov1JsfHjx/nXM/PwsLCoFQqERcXh+bmZgwODqKxsRH37t0zG5+fn4+Kigqo1Wr09vaiv78f169fR25u7qzbPHr0KEZHR7F//350dXXh1atXqKysNPlnqcjISMjlcpw8eRLJycnzGiMR0UJiwkBEtEjIZDJs2rQJJSUlUCqV8PX1RV5eHtLS0nDx4kWz98jlcty5cwcajQb+/v7IyclBfn4+ANPtN3/l/PnzcHR0REhICFQqFSIjIxEQEDDnMfT29kKhUJgcrq6uc65nppqaGgQFBSEhIQE+Pj44fvw4pqamzMZGRkaioaEB9+/fR1BQEDZv3oySkpI59cPJyQmtra0wGAwICwvDxo0bUVpaarLaYGVlhaSkJExNTSExMXHeYyQiWigSIYRY6E4QEdH/TnV1NZKTkzEyMsIPmv3NDh06hM+fP//yDQciosWE7zAQEf3LVVRUwN3dHWvWrIFWq0VWVhbi4+OZLPyNRkZG8OLFC1y7do3JAhEtekwYiIj+5fR6PfLz86HX66FQKLBv375fPlJGf1ZMTAyePHmCI0eOICIiYqG7Q0Q0L9ySREREREREFvGlZyIiIiIisogJAxERERERWcSEgYiIiIiILGLCQEREREREFjFhICIiIiIii5gwEBERERGRRUwYiIiIiIjIIiYMRERERERkERMGIiIiIiKy6D8nzVVjOWNUIAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ - "config = hls4ml.utils.config_from_keras_model(model, granularity='Model')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='Model', backend='Vitis')\n", "print(\"-----------------------------------\")\n", "print(config)\n", "print(\"-----------------------------------\")\n", "# Set the ReuseFactor to 2 throughout\n", "config['Model']['ReuseFactor'] = 2\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='vitis', output_dir='model_1)vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")\n", "hls_model.compile()\n", "y_hls = hls_model.predict(X_test)\n", @@ -315,14 +829,499 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_1/hls4ml_prj_2/vivado_hls.log`" + "`tail -f model_1_vivado/hls4ml_prj_2/vivado_hls.log`" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 14, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", + " **** SW Build 5069499 on May 21 2024\n", + " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", + " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", + " **** Start of session at: Thu Sep 19 11:38:28 2024\n", + " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", + " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", + "\n", + "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", + "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:38:30 EDT 2024\n", + "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2'\n", + "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", + "Sourcing Tcl script 'build_prj.tcl'\n", + "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", + "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj'.\n", + "INFO: [HLS 200-1510] Running: set_top myproject \n", + "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", + "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", + "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", + "INFO: [HLS 200-1510] Running: open_solution solution1 \n", + "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1'.\n", + "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", + "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", + "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", + "SYNTAX \n", + " config_array_partition [OPTIONS]\n", + " -auto_partition_threshold *** DEPRECATED***\n", + " -auto_promotion_threshold *** DEPRECATED***\n", + " -complete_threshold \n", + " -throughput_driven \n", + "\n", + "SEE ALSO\n", + " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", + " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", + "\n", + "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", + "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", + "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", + "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", + "***** C/RTL SYNTHESIS *****\n", + "INFO: [HLS 200-1510] Running: csynth_design \n", + "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.07 seconds; current allocated memory: 326.410 MB.\n", + "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", + "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", + "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 7.98 seconds. CPU system time: 0.69 seconds. Elapsed time: 8.71 seconds; current allocated memory: 331.277 MB.\n", + "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", + "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "WARNING: [HLS 200-1995] There were 248,375 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 74,760 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 74,463 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 73,998 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 26,628 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,704 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,656 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,632 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 13,510 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", + "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", + "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", + "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", + "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", + "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", + "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", + "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 65.7 seconds. CPU system time: 0.82 seconds. Elapsed time: 69.53 seconds; current allocated memory: 346.016 MB.\n", + "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.016 MB.\n", + "INFO: [HLS 200-10] Starting code transformations ...\n", + "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.32 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.34 seconds; current allocated memory: 360.152 MB.\n", + "INFO: [HLS 200-10] Checking synthesizability ...\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' into 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:66) automatically.\n", + "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.41 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 367.832 MB.\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' into 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:66) automatically.\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...823 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...1663 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...940 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:21)...148 expression(s) balanced.\n", + "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.28 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.3 seconds; current allocated memory: 417.629 MB.\n", + "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.92 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.97 seconds; current allocated memory: 543.953 MB.\n", + "INFO: [HLS 200-10] Starting hardware synthesis ...\n", + "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 3.05 seconds. CPU system time: 0.04 seconds. Elapsed time: 3.1 seconds; current allocated memory: 578.234 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 2.5 seconds. CPU system time: 0.05 seconds. Elapsed time: 2.55 seconds; current allocated memory: 668.668 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.38 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.4 seconds; current allocated memory: 668.668 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.05 seconds; current allocated memory: 668.668 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 4.59 seconds. CPU system time: 0 seconds. Elapsed time: 4.6 seconds; current allocated memory: 668.668 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 7.08 seconds. CPU system time: 0.09 seconds. Elapsed time: 7.18 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.49 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.5 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 2.24 seconds. CPU system time: 0 seconds. Elapsed time: 2.25 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.78 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.79 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.28 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.29 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.53 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.53 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0 seconds. Elapsed time: 0.15 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 14, function 'myproject'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.08 seconds. CPU system time: 0 seconds. Elapsed time: 0.09 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' is 12632 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 50 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 24 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 48 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 42 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 63 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 78 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.72 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.73 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.61 seconds. CPU system time: 0.06 seconds. Elapsed time: 1.69 seconds; current allocated memory: 872.117 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 27704 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 62 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 23 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 43 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 39 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 88 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 76 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 115 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 63 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.95 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.98 seconds; current allocated memory: 893.805 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 2.87 seconds. CPU system time: 0.15 seconds. Elapsed time: 3.03 seconds; current allocated memory: 996.012 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 14841 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 61 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 35 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 27 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 64 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 29 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.53 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.56 seconds; current allocated memory: 1.004 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.22 seconds. CPU system time: 0.08 seconds. Elapsed time: 1.32 seconds; current allocated memory: 1.065 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 18 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 7 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.25 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.27 seconds; current allocated memory: 1.082 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.33 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.090 GB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.41 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 1.106 GB.\n", + "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.88 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.91 seconds; current allocated memory: 1.126 GB.\n", + "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.82 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.86 seconds; current allocated memory: 1.180 GB.\n", + "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", + "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", + "INFO: [HLS 200-789] **** Estimated Fmax: 228.79 MHz\n", + "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:58; Allocated memory: 881.605 MB.\n", + "***** C/RTL SYNTHESIS COMPLETED IN 0h1m58s *****\n", + "INFO: [HLS 200-112] Total CPU user time: 116.2 seconds. Total CPU system time: 2.56 seconds. Total elapsed time: 121.77 seconds; peak allocated memory: 1.180 GB.\n", + "Vivado synthesis report not found.\n", + "Cosim report not found.\n", + "Timing report not found.\n" + ] + }, + { + "data": { + "text/plain": [ + "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", + " 'EstimatedClockPeriod': '4.371',\n", + " 'BestLatency': '13',\n", + " 'WorstLatency': '13',\n", + " 'IntervalMin': '2',\n", + " 'IntervalMax': '2',\n", + " 'BRAM_18K': '8',\n", + " 'DSP': '1476',\n", + " 'FF': '9302',\n", + " 'LUT': '102510',\n", + " 'URAM': '0',\n", + " 'AvailableBRAM_18K': '5376',\n", + " 'AvailableDSP': '12288',\n", + " 'AvailableFF': '3456000',\n", + " 'AvailableLUT': '1728000',\n", + " 'AvailableURAM': '1280'}}" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hls_model.build(csim=False)" ] @@ -336,20 +1335,751 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 15, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj_2/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:40:27 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 13| 13| 65.000 ns| 65.000 ns| 2| 2| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 16| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 1476| 6904| 102435| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 59| -|\n", + "|Register | -| -| 2398| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 1476| 9302| 102510| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 80| 817| 4792| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 1450| 26187| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44236| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21566| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 1476| 6904| 102435| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_11001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp147 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp16 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp214 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp281 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp288 | and| 0| 0| 2| 1| 1|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95_ap_start | or| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 16| 8| 9|\n", + " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |ap_NS_fsm | 14| 3| 1| 3|\n", + " |ap_enable_reg_pp0_iter0 | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 59| 13| 261| 523|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 2| 0| 2| 0|\n", + " |ap_enable_reg_pp0_iter0_reg | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2121 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2126 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2131 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2136 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2141 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2146 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2151 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2156 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2161 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2166 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2076 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2171 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2176 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2181 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2186 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2191 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2196 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2201 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2206 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2211 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2216 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2081 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2221 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2226 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2086 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2091 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2096 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2101 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2106 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2111 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2116 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2071 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2236 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2241 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2246 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2251 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2231 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1641 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1646 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1651 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1656 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1661 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1666 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1671 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1676 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1681 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1686 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1596 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1691 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1696 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1701 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1706 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1711 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1716 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1721 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1726 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1731 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1736 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1601 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1741 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_1746 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_1751 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_1756 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_1761 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_1766 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_1771 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_1776 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_1781 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_1786 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1606 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_1791 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_1796 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_1801 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_1806 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_1811 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_1816 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_1821 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_1826 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_1831 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_1836 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1611 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_1841 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_1846 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_1851 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_1856 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_1861 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_1866 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_1871 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_1876 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_1881 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_1886 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1616 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_1891 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_1896 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_1901 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_1906 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1621 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1626 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1631 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1636 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1591 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_1961 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_1966 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_1971 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_1976 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_1981 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_1986 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_1991 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_1996 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2001 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2006 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_1916 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2011 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2016 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2021 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2026 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2031 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2036 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2041 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2046 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2051 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2056 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_1921 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2061 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2066 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_1926 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_1931 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_1936 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_1941 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_1946 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_1951 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_1956 | 16| 0| 16| 0|\n", + " |layer7_out_reg_1911 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 2398| 0| 2398| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ - "hls4ml.report.read_vivado_report('model_1/hls4ml_prj_2')" + "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj_2')" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:32:21 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 14| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 1502| 5936| 101789| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3427| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 1502| 9363| 101839| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 1502| 5936| 101789| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 14| 7| 8|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", + " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", + " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", + " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", + " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", + " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", + " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3427| 0| 3427| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ - "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" + "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj')" ] }, { @@ -364,7 +2094,7 @@ ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -378,7 +2108,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.8.6" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part3_compression.ipynb b/part3_compression.ipynb index b751f0e1..f3912ff2 100644 --- a/part3_compression.ipynb +++ b/part3_compression.ipynb @@ -9,9 +9,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:43:34.646874: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-19 11:43:34.649374: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:43:34.682814: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-19 11:43:34.682835: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-19 11:43:34.682856: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-19 11:43:34.688742: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:43:34.689255: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-19 11:43:35.511825: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -28,7 +44,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -40,7 +56,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -62,7 +78,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -75,9 +91,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:44:02.170454: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", + "Skipping registering GPU devices...\n" + ] + } + ], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -100,7 +125,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ @@ -124,9 +149,574 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n", + " 1/487 [..............................] - ETA: 15:23 - loss: 1.7080 - accuracy: 0.2139WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0021s vs `on_train_batch_end` time: 0.0067s). Check your callbacks.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0021s vs `on_train_batch_end` time: 0.0067s). Check your callbacks.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "473/487 [============================>.] - ETA: 0s - loss: 0.9531 - accuracy: 0.6876\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.82827, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.82827, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 1: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 1: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 4s 4ms/step - loss: 0.9495 - accuracy: 0.6889 - val_loss: 0.8283 - val_accuracy: 0.7320 - lr: 0.0010\n", + "Epoch 2/30\n", + " 41/487 [=>............................] - ETA: 1s - loss: 0.8179 - accuracy: 0.7339" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "469/487 [===========================>..] - ETA: 0s - loss: 0.7972 - accuracy: 0.7405\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 2: val_loss improved from 0.82827 to 0.78032, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 2: val_loss improved from 0.82827 to 0.78032, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 2: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 2: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7964 - accuracy: 0.7407 - val_loss: 0.7803 - val_accuracy: 0.7459 - lr: 0.0010\n", + "Epoch 3/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.7662 - accuracy: 0.7493\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 3: val_loss improved from 0.78032 to 0.76543, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 3: val_loss improved from 0.78032 to 0.76543, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 3: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 3: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7662 - accuracy: 0.7493 - val_loss: 0.7654 - val_accuracy: 0.7499 - lr: 0.0010\n", + "Epoch 4/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.7498 - accuracy: 0.7538\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 4: val_loss improved from 0.76543 to 0.74740, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 4: val_loss improved from 0.76543 to 0.74740, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 4: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 4: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7498 - accuracy: 0.7538 - val_loss: 0.7474 - val_accuracy: 0.7553 - lr: 0.0010\n", + "Epoch 5/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.8025 - accuracy: 0.7298\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 5: val_loss did not improve from 0.74740\n", + "\n", + "Epoch 5: val_loss did not improve from 0.74740\n", + "\n", + "Epoch 5: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 5: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.8014 - accuracy: 0.7302 - val_loss: 0.7495 - val_accuracy: 0.7479 - lr: 0.0010\n", + "Epoch 6/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.7369 - accuracy: 0.7509\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 6: val_loss improved from 0.74740 to 0.73467, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 6: val_loss improved from 0.74740 to 0.73467, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 6: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 6: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7370 - accuracy: 0.7509 - val_loss: 0.7347 - val_accuracy: 0.7517 - lr: 0.0010\n", + "Epoch 7/30\n", + "469/487 [===========================>..] - ETA: 0s - loss: 0.7268 - accuracy: 0.7532\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 7: val_loss improved from 0.73467 to 0.72695, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 7: val_loss improved from 0.73467 to 0.72695, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 7: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 7: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7265 - accuracy: 0.7533 - val_loss: 0.7270 - val_accuracy: 0.7545 - lr: 0.0010\n", + "Epoch 8/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.7203 - accuracy: 0.7555\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 8: val_loss improved from 0.72695 to 0.72173, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 8: val_loss improved from 0.72695 to 0.72173, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 8: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 8: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7203 - accuracy: 0.7555 - val_loss: 0.7217 - val_accuracy: 0.7556 - lr: 0.0010\n", + "Epoch 9/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.7154 - accuracy: 0.7571\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 9: val_loss improved from 0.72173 to 0.71887, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 9: val_loss improved from 0.72173 to 0.71887, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 9: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 9: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7151 - accuracy: 0.7570 - val_loss: 0.7189 - val_accuracy: 0.7561 - lr: 0.0010\n", + "Epoch 10/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.7109 - accuracy: 0.7587\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 10: val_loss improved from 0.71887 to 0.71442, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 10: val_loss improved from 0.71887 to 0.71442, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_epoch10.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7112 - accuracy: 0.7586 - val_loss: 0.7144 - val_accuracy: 0.7576 - lr: 0.0010\n", + "Epoch 11/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.7080 - accuracy: 0.7592\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 11: val_loss improved from 0.71442 to 0.71140, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 11: val_loss improved from 0.71442 to 0.71140, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 11: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 11: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7079 - accuracy: 0.7593 - val_loss: 0.7114 - val_accuracy: 0.7587 - lr: 0.0010\n", + "Epoch 12/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.7053 - accuracy: 0.7599\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 12: val_loss improved from 0.71140 to 0.70925, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 12: val_loss improved from 0.71140 to 0.70925, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 12: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 12: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7053 - accuracy: 0.7599 - val_loss: 0.7093 - val_accuracy: 0.7589 - lr: 0.0010\n", + "Epoch 13/30\n", + "465/487 [===========================>..] - ETA: 0s - loss: 0.7031 - accuracy: 0.7605\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 13: val_loss improved from 0.70925 to 0.70669, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 13: val_loss improved from 0.70925 to 0.70669, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 13: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 13: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7029 - accuracy: 0.7605 - val_loss: 0.7067 - val_accuracy: 0.7595 - lr: 0.0010\n", + "Epoch 14/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.7009 - accuracy: 0.7613\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 14: val_loss improved from 0.70669 to 0.70652, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 14: val_loss improved from 0.70669 to 0.70652, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 14: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 14: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7010 - accuracy: 0.7612 - val_loss: 0.7065 - val_accuracy: 0.7602 - lr: 0.0010\n", + "Epoch 15/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6998 - accuracy: 0.7616\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 15: val_loss improved from 0.70652 to 0.70403, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 15: val_loss improved from 0.70652 to 0.70403, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 15: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 15: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6995 - accuracy: 0.7617 - val_loss: 0.7040 - val_accuracy: 0.7606 - lr: 0.0010\n", + "Epoch 16/30\n", + "479/487 [============================>.] - ETA: 0s - loss: 0.6979 - accuracy: 0.7619\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 16: val_loss improved from 0.70403 to 0.70252, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 16: val_loss improved from 0.70403 to 0.70252, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 16: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 16: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6978 - accuracy: 0.7620 - val_loss: 0.7025 - val_accuracy: 0.7608 - lr: 0.0010\n", + "Epoch 17/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.6963 - accuracy: 0.7628\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 17: val_loss improved from 0.70252 to 0.70121, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 17: val_loss improved from 0.70252 to 0.70121, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 17: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 17: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6967 - accuracy: 0.7626 - val_loss: 0.7012 - val_accuracy: 0.7613 - lr: 0.0010\n", + "Epoch 18/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.6953 - accuracy: 0.7628\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 18: val_loss improved from 0.70121 to 0.70102, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 18: val_loss improved from 0.70121 to 0.70102, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 18: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 18: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6955 - accuracy: 0.7627 - val_loss: 0.7010 - val_accuracy: 0.7614 - lr: 0.0010\n", + "Epoch 19/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6946 - accuracy: 0.7630\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 19: val_loss improved from 0.70102 to 0.69993, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 19: val_loss improved from 0.70102 to 0.69993, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 19: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 19: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6943 - accuracy: 0.7631 - val_loss: 0.6999 - val_accuracy: 0.7615 - lr: 0.0010\n", + "Epoch 20/30\n", + "467/487 [===========================>..] - ETA: 0s - loss: 0.6933 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 20: val_loss improved from 0.69993 to 0.69843, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 20: val_loss improved from 0.69993 to 0.69843, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_epoch20.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6932 - accuracy: 0.7631 - val_loss: 0.6984 - val_accuracy: 0.7617 - lr: 0.0010\n", + "Epoch 21/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.6924 - accuracy: 0.7635\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 21: val_loss improved from 0.69843 to 0.69777, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 21: val_loss improved from 0.69843 to 0.69777, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 21: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 21: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6923 - accuracy: 0.7635 - val_loss: 0.6978 - val_accuracy: 0.7619 - lr: 0.0010\n", + "Epoch 22/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.6914 - accuracy: 0.7638\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 22: val_loss improved from 0.69777 to 0.69724, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 22: val_loss improved from 0.69777 to 0.69724, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 22: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 22: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6914 - accuracy: 0.7638 - val_loss: 0.6972 - val_accuracy: 0.7620 - lr: 0.0010\n", + "Epoch 23/30\n", + "469/487 [===========================>..] - ETA: 0s - loss: 0.6908 - accuracy: 0.7640\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 23: val_loss improved from 0.69724 to 0.69669, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 23: val_loss improved from 0.69724 to 0.69669, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 23: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 23: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6906 - accuracy: 0.7641 - val_loss: 0.6967 - val_accuracy: 0.7623 - lr: 0.0010\n", + "Epoch 24/30\n", + "469/487 [===========================>..] - ETA: 0s - loss: 0.6895 - accuracy: 0.7644\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 24: val_loss improved from 0.69669 to 0.69510, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 24: val_loss improved from 0.69669 to 0.69510, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 24: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 24: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6896 - accuracy: 0.7643 - val_loss: 0.6951 - val_accuracy: 0.7631 - lr: 0.0010\n", + "Epoch 25/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.6889 - accuracy: 0.7645\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 25: val_loss improved from 0.69510 to 0.69485, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 25: val_loss improved from 0.69510 to 0.69485, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 25: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 25: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.6890 - accuracy: 0.7644 - val_loss: 0.6948 - val_accuracy: 0.7628 - lr: 0.0010\n", + "Epoch 26/30\n", + "482/487 [============================>.] - ETA: 0s - loss: 0.6880 - accuracy: 0.7649\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 26: val_loss did not improve from 0.69485\n", + "\n", + "Epoch 26: val_loss did not improve from 0.69485\n", + "\n", + "Epoch 26: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 26: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6883 - accuracy: 0.7648 - val_loss: 0.6950 - val_accuracy: 0.7625 - lr: 0.0010\n", + "Epoch 27/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6878 - accuracy: 0.7648\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 27: val_loss improved from 0.69485 to 0.69295, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 27: val_loss improved from 0.69485 to 0.69295, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 27: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 27: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6875 - accuracy: 0.7649 - val_loss: 0.6930 - val_accuracy: 0.7632 - lr: 0.0010\n", + "Epoch 28/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.6872 - accuracy: 0.7649\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 28: val_loss improved from 0.69295 to 0.69250, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 28: val_loss improved from 0.69295 to 0.69250, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 28: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 28: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6871 - accuracy: 0.7648 - val_loss: 0.6925 - val_accuracy: 0.7630 - lr: 0.0010\n", + "Epoch 29/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.6865 - accuracy: 0.7649\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 29: val_loss improved from 0.69250 to 0.69213, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 29: val_loss improved from 0.69250 to 0.69213, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 29: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 29: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6866 - accuracy: 0.7648 - val_loss: 0.6921 - val_accuracy: 0.7631 - lr: 0.0010\n", + "Epoch 30/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.6861 - accuracy: 0.7651\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 30: val_loss did not improve from 0.69213\n", + "\n", + "Epoch 30: val_loss did not improve from 0.69213\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_epoch30.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.6860 - accuracy: 0.7651 - val_loss: 0.6928 - val_accuracy: 0.7629 - lr: 0.0010\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + } + ], "source": [ "train = True\n", "if train:\n", @@ -170,9 +760,27 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "% of zeros = 0.75\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "w = model.layers[0].weights[0].numpy()\n", "h, b = np.histogram(w, bins=100)\n", @@ -193,9 +801,40 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 4s 831us/step\n", + "5188/5188 [==============================] - 4s 819us/step\n", + "Accuracy unpruned: 0.7643975903614458\n", + "Accuracy pruned: 0.7625722891566266\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -236,21 +875,544 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_2/hls4ml_prj/vivado_hls.log`" + "`tail -f model_2/hls4ml_prj/vitis_hls.log`" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Writing HLS project\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n", + "\n", + "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", + " **** SW Build 5069499 on May 21 2024\n", + " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", + " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", + " **** Start of session at: Thu Sep 19 11:45:13 2024\n", + " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", + " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", + "\n", + "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", + "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:45:15 EDT 2024\n", + "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj'\n", + "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", + "Sourcing Tcl script 'build_prj.tcl'\n", + "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", + "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj'.\n", + "INFO: [HLS 200-1510] Running: set_top myproject \n", + "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", + "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", + "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", + "INFO: [HLS 200-1510] Running: open_solution solution1 \n", + "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1'.\n", + "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", + "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", + "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", + "SYNTAX \n", + " config_array_partition [OPTIONS]\n", + " -auto_partition_threshold *** DEPRECATED***\n", + " -auto_promotion_threshold *** DEPRECATED***\n", + " -complete_threshold \n", + " -throughput_driven \n", + "\n", + "SEE ALSO\n", + " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", + " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", + "\n", + "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", + "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", + "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", + "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", + "***** C/RTL SYNTHESIS *****\n", + "INFO: [HLS 200-1510] Running: csynth_design \n", + "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0 seconds. Elapsed time: 0.08 seconds; current allocated memory: 326.414 MB.\n", + "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", + "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", + "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.12 seconds. CPU system time: 1 seconds. Elapsed time: 9.16 seconds; current allocated memory: 331.234 MB.\n", + "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", + "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "WARNING: [HLS 200-1995] There were 236,000 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 69,311 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 68,822 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 68,357 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 21,838 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,476 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,476 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,508 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,460 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,430 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,238 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,044 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,044 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,050 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,057 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", + "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", + "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", + "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", + "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", + "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", + "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", + "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", + "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 68.6 seconds. CPU system time: 0.76 seconds. Elapsed time: 70.35 seconds; current allocated memory: 346.820 MB.\n", + "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.820 MB.\n", + "INFO: [HLS 200-10] Starting code transformations ...\n", + "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 355.262 MB.\n", + "INFO: [HLS 200-10] Checking synthesizability ...\n", + "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.21 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.22 seconds; current allocated memory: 360.816 MB.\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...256 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...509 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...238 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", + "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 0.63 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.65 seconds; current allocated memory: 396.617 MB.\n", + "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 0.73 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.76 seconds; current allocated memory: 488.801 MB.\n", + "INFO: [HLS 200-10] Starting hardware synthesis ...\n", + "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.13 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.16 seconds; current allocated memory: 498.438 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.46 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.48 seconds; current allocated memory: 520.535 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.21 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.22 seconds; current allocated memory: 520.535 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 520.535 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.91 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.92 seconds; current allocated memory: 523.020 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.47 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.5 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.22 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.23 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.02 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.89 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.9 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.39 seconds. CPU system time: 0 seconds. Elapsed time: 0.4 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.13 seconds. CPU system time: 0 seconds. Elapsed time: 0.14 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.02 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.17 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.1 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.02 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.13 seconds. CPU system time: 0 seconds. Elapsed time: 0.13 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 42 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 31 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 25 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 28 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 22 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 20 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.31 seconds; current allocated memory: 593.957 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.48 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.5 seconds; current allocated memory: 601.641 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 12800 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 77 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 14 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 18 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 21 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 44 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 19 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 53 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 48 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.52 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.55 seconds; current allocated memory: 628.285 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.9 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.95 seconds; current allocated memory: 683.188 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 6520 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 43 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 19 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 20 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 23 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 15 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 697.160 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.42 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.44 seconds; current allocated memory: 721.832 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 10 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.13 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.14 seconds; current allocated memory: 722.199 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.14 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.15 seconds; current allocated memory: 730.812 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.31 seconds; current allocated memory: 747.812 MB.\n", + "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.45 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.48 seconds; current allocated memory: 753.324 MB.\n", + "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 0.9 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.93 seconds; current allocated memory: 779.520 MB.\n", + "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", + "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", + "INFO: [HLS 200-789] **** Estimated Fmax: 239.69 MHz\n", + "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:34; Allocated memory: 453.105 MB.\n", + "***** C/RTL SYNTHESIS COMPLETED IN 0h1m34s *****\n", + "INFO: [HLS 200-112] Total CPU user time: 94.03 seconds. Total CPU system time: 2.5 seconds. Total elapsed time: 97.42 seconds; peak allocated memory: 779.520 MB.\n", + "Vivado synthesis report not found.\n", + "Cosim report not found.\n", + "Timing report not found.\n" + ] + }, + { + "data": { + "text/plain": [ + "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", + " 'EstimatedClockPeriod': '4.172',\n", + " 'BestLatency': '12',\n", + " 'WorstLatency': '12',\n", + " 'IntervalMin': '1',\n", + " 'IntervalMax': '1',\n", + " 'BRAM_18K': '8',\n", + " 'DSP': '834',\n", + " 'FF': '5196',\n", + " 'LUT': '33849',\n", + " 'URAM': '0',\n", + " 'AvailableBRAM_18K': '5376',\n", + " 'AvailableDSP': '12288',\n", + " 'AvailableFF': '3456000',\n", + " 'AvailableLUT': '1728000',\n", + " 'AvailableURAM': '1280'}}" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "import hls4ml\n", "\n", - "config = hls4ml.utils.config_from_keras_model(model, granularity='model')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='model', backend='Vitis')\n", "print(config)\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_2/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='Vitis', output_dir='model_2/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", ")\n", "hls_model.compile()\n", "hls_model.build(csim=False)" @@ -261,14 +1423,381 @@ "metadata": {}, "source": [ "## Check the reports\n", - "Print out the reports generated by Vivado HLS. Pay attention to the Utilization Estimates' section in particular this time." + "Print out the reports generated by Vitis HLS. Pay attention to the Utilization Estimates' section in particular this time." ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_2/hls4ml_prj//myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:46:49 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 10| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 834| 2204| 33803| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 2992| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 834| 5196| 33849| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 27| ~0| 7| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 6| ~0| 1| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 39| 0| 917| 0|\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 223| 0| 6644| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 378| 1218| 14255| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 189| 594| 7131| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 798| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2204| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1064| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 834| 2204| 33803| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp192 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp243 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 10| 5| 6|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2077 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2082 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2087 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2092 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2097 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2102 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2107 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2112 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2117 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2122 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2027 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2072 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2032 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2037 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2042 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2047 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2052 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2057 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2062 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2067 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2022 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2132 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2137 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2142 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2147 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2127 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1357 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1362 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1367 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1372 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1377 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1382 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1387 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1392 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1397 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1402 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1307 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1407 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1412 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1417 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1422 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1427 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1432 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1437 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1442 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1447 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1452 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1312 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1457 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1462 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1467 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1472 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1477 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1482 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1487 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1492 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1497 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1502 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1317 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1507 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1512 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1517 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1522 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1527 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1322 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1352 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1327 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1332 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1337 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1342 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1347 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1302 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1647 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1652 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1657 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1662 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1667 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1672 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1677 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1682 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1687 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1692 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1597 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1697 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1702 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1707 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1712 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1717 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1722 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1727 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1732 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1737 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1742 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1602 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1747 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_1752 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_1757 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_1762 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_1767 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_1772 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_1777 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_1782 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_1787 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_1792 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1607 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_1797 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_1802 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_1807 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_1812 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_1817 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_1822 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_1827 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_1832 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_1837 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_1842 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1612 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_1847 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_1642 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1617 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1622 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1627 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1632 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1637 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1592 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_1937 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_1942 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_1947 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_1952 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_1957 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_1962 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_1967 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_1972 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_1977 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_1982 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_1887 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_1987 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_1992 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_1997 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2002 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2007 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2012 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2017 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_1932 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_1892 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_1897 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_1902 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_1907 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_1912 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_1917 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_1922 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_1927 | 16| 0| 16| 0|\n", + " |layer7_out_reg_1882 | 16| 0| 16| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 2992| 0| 2992| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj/')" ] @@ -277,14 +1806,413 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Print the report for the model trained in part 1. Remember these models have the same architecture, but the model in this section was trained using the sparsity API from tensorflow_model_optimization. Notice how the resource usage had dramatically reduced (particularly the DSPs). When Vivado HLS notices an operation like `y = 0 * x` it can avoid placing a DSP for that operation. The impact of this is biggest when `ReuseFactor = 1`, but still applies at higher reuse as well. **Note you need to have trained and synthesized the model from part 1**" + "Print the report for the model trained in part 1. Remember these models have the same architecture, but the model in this section was trained using the sparsity API from tensorflow_model_optimization. Notice how the resource usage had dramatically reduced (particularly the DSPs). When Vitis HLS notices an operation like `y = 0 * x` it can avoid placing a DSP for that operation. The impact of this is biggest when `ReuseFactor = 1`, but still applies at higher reuse as well. **Note you need to have trained and synthesized the model from part 1**" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:32:21 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 14| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 1502| 5936| 101789| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3427| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 1502| 9363| 101839| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 1502| 5936| 101789| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 14| 7| 8|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", + " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", + " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", + " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", + " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", + " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", + " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3427| 0| 3427| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] @@ -299,7 +2227,7 @@ ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -313,7 +2241,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.8.6" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part4_quantization.ipynb b/part4_quantization.ipynb index 7c63958b..74002623 100644 --- a/part4_quantization.ipynb +++ b/part4_quantization.ipynb @@ -9,9 +9,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:47:48.563292: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-19 11:47:48.566059: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:47:48.601084: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-19 11:47:48.601104: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-19 11:47:48.601126: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-19 11:47:48.607573: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 11:47:48.608216: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-19 11:47:49.374104: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -28,7 +44,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -40,7 +56,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -66,7 +82,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -88,9 +104,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 11:48:01.729712: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", + "Skipping registering GPU devices...\n" + ] + } + ], "source": [ "model = Sequential()\n", "model.add(\n", @@ -150,7 +175,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ @@ -174,9 +199,588 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", + " warnings.warn(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + " 1/487 [..............................] - ETA: 22:49 - loss: 1.7775 - accuracy: 0.1270WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0037s vs `on_train_batch_end` time: 0.0098s). Check your callbacks.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0037s vs `on_train_batch_end` time: 0.0098s). Check your callbacks.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "474/487 [============================>.] - ETA: 0s - loss: 0.9666 - accuracy: 0.6811\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.81417, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.81417, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 1: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 1: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 5s 5ms/step - loss: 0.9626 - accuracy: 0.6825 - val_loss: 0.8142 - val_accuracy: 0.7352 - lr: 0.0010\n", + "Epoch 2/30\n", + " 31/487 [>.............................] - ETA: 1s - loss: 0.8023 - accuracy: 0.7389" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "484/487 [============================>.] - ETA: 0s - loss: 0.7848 - accuracy: 0.7444\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 2: val_loss improved from 0.81417 to 0.77223, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 2: val_loss improved from 0.81417 to 0.77223, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 2: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 2: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7848 - accuracy: 0.7444 - val_loss: 0.7722 - val_accuracy: 0.7483 - lr: 0.0010\n", + "Epoch 3/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.7581 - accuracy: 0.7518\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 3: val_loss improved from 0.77223 to 0.75304, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 3: val_loss improved from 0.77223 to 0.75304, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 3: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 3: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7580 - accuracy: 0.7518 - val_loss: 0.7530 - val_accuracy: 0.7519 - lr: 0.0010\n", + "Epoch 4/30\n", + "482/487 [============================>.] - ETA: 0s - loss: 0.7428 - accuracy: 0.7547\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 4: val_loss improved from 0.75304 to 0.74169, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 4: val_loss improved from 0.75304 to 0.74169, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 4: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 4: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7428 - accuracy: 0.7548 - val_loss: 0.7417 - val_accuracy: 0.7550 - lr: 0.0010\n", + "Epoch 5/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.8003 - accuracy: 0.7373\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 5: val_loss did not improve from 0.74169\n", + "\n", + "Epoch 5: val_loss did not improve from 0.74169\n", + "\n", + "Epoch 5: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 5: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.8003 - accuracy: 0.7373 - val_loss: 0.7553 - val_accuracy: 0.7493 - lr: 0.0010\n", + "Epoch 6/30\n", + "478/487 [============================>.] - ETA: 0s - loss: 0.7420 - accuracy: 0.7514\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 6: val_loss improved from 0.74169 to 0.73882, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 6: val_loss improved from 0.74169 to 0.73882, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 6: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 6: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7421 - accuracy: 0.7514 - val_loss: 0.7388 - val_accuracy: 0.7521 - lr: 0.0010\n", + "Epoch 7/30\n", + "473/487 [============================>.] - ETA: 0s - loss: 0.7307 - accuracy: 0.7539\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 7: val_loss improved from 0.73882 to 0.73006, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 7: val_loss improved from 0.73882 to 0.73006, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 7: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 7: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7305 - accuracy: 0.7540 - val_loss: 0.7301 - val_accuracy: 0.7543 - lr: 0.0010\n", + "Epoch 8/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.7233 - accuracy: 0.7558\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 8: val_loss improved from 0.73006 to 0.72313, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 8: val_loss improved from 0.73006 to 0.72313, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 8: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 8: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7231 - accuracy: 0.7559 - val_loss: 0.7231 - val_accuracy: 0.7562 - lr: 0.0010\n", + "Epoch 9/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.7180 - accuracy: 0.7572\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 9: val_loss improved from 0.72313 to 0.72037, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 9: val_loss improved from 0.72313 to 0.72037, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 9: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 9: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7177 - accuracy: 0.7573 - val_loss: 0.7204 - val_accuracy: 0.7564 - lr: 0.0010\n", + "Epoch 10/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.7142 - accuracy: 0.7584\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 10: val_loss improved from 0.72037 to 0.71890, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 10: val_loss improved from 0.72037 to 0.71890, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 10: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 10: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 10: saving model to model_3/KERAS_check_model_epoch10.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7142 - accuracy: 0.7584 - val_loss: 0.7189 - val_accuracy: 0.7567 - lr: 0.0010\n", + "Epoch 11/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.7114 - accuracy: 0.7590\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 11: val_loss improved from 0.71890 to 0.71330, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 11: val_loss improved from 0.71890 to 0.71330, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 11: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 11: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7112 - accuracy: 0.7591 - val_loss: 0.7133 - val_accuracy: 0.7589 - lr: 0.0010\n", + "Epoch 12/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.7081 - accuracy: 0.7595\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 12: val_loss improved from 0.71330 to 0.71108, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 12: val_loss improved from 0.71330 to 0.71108, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 12: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 12: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7081 - accuracy: 0.7595 - val_loss: 0.7111 - val_accuracy: 0.7589 - lr: 0.0010\n", + "Epoch 13/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.7052 - accuracy: 0.7605\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 13: val_loss improved from 0.71108 to 0.70811, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 13: val_loss improved from 0.71108 to 0.70811, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 13: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 13: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7052 - accuracy: 0.7605 - val_loss: 0.7081 - val_accuracy: 0.7598 - lr: 0.0010\n", + "Epoch 14/30\n", + "478/487 [============================>.] - ETA: 0s - loss: 0.7029 - accuracy: 0.7612\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 14: val_loss improved from 0.70811 to 0.70669, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 14: val_loss improved from 0.70811 to 0.70669, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 14: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 14: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7030 - accuracy: 0.7611 - val_loss: 0.7067 - val_accuracy: 0.7601 - lr: 0.0010\n", + "Epoch 15/30\n", + "485/487 [============================>.] - ETA: 0s - loss: 0.7021 - accuracy: 0.7609\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 15: val_loss improved from 0.70669 to 0.70526, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 15: val_loss improved from 0.70669 to 0.70526, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 15: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 15: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7020 - accuracy: 0.7610 - val_loss: 0.7053 - val_accuracy: 0.7608 - lr: 0.0010\n", + "Epoch 16/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.7009 - accuracy: 0.7613\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 16: val_loss did not improve from 0.70526\n", + "\n", + "Epoch 16: val_loss did not improve from 0.70526\n", + "\n", + "Epoch 16: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 16: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7009 - accuracy: 0.7613 - val_loss: 0.7075 - val_accuracy: 0.7595 - lr: 0.0010\n", + "Epoch 17/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.7005 - accuracy: 0.7618\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 17: val_loss improved from 0.70526 to 0.70399, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 17: val_loss improved from 0.70526 to 0.70399, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 17: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 17: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7005 - accuracy: 0.7619 - val_loss: 0.7040 - val_accuracy: 0.7608 - lr: 0.0010\n", + "Epoch 18/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.6991 - accuracy: 0.7621\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 18: val_loss did not improve from 0.70399\n", + "\n", + "Epoch 18: val_loss did not improve from 0.70399\n", + "\n", + "Epoch 18: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 18: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6994 - accuracy: 0.7620 - val_loss: 0.7040 - val_accuracy: 0.7609 - lr: 0.0010\n", + "Epoch 19/30\n", + "478/487 [============================>.] - ETA: 0s - loss: 0.6993 - accuracy: 0.7619\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 19: val_loss improved from 0.70399 to 0.70153, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 19: val_loss improved from 0.70399 to 0.70153, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 19: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 19: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6989 - accuracy: 0.7620 - val_loss: 0.7015 - val_accuracy: 0.7611 - lr: 0.0010\n", + "Epoch 20/30\n", + "479/487 [============================>.] - ETA: 0s - loss: 0.6979 - accuracy: 0.7624\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 20: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 20: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 20: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 20: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 20: saving model to model_3/KERAS_check_model_epoch20.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6978 - accuracy: 0.7624 - val_loss: 0.7033 - val_accuracy: 0.7611 - lr: 0.0010\n", + "Epoch 21/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.6966 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 21: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 21: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 21: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 21: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6967 - accuracy: 0.7631 - val_loss: 0.7021 - val_accuracy: 0.7610 - lr: 0.0010\n", + "Epoch 22/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.6962 - accuracy: 0.7630\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 22: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 22: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 22: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 22: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6962 - accuracy: 0.7630 - val_loss: 0.7021 - val_accuracy: 0.7611 - lr: 0.0010\n", + "Epoch 23/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.6960 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 23: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 23: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 23: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 23: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6959 - accuracy: 0.7631 - val_loss: 0.7023 - val_accuracy: 0.7615 - lr: 0.0010\n", + "Epoch 24/30\n", + "485/487 [============================>.] - ETA: 0s - loss: 0.6955 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 24: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 24: val_loss did not improve from 0.70153\n", + "\n", + "Epoch 24: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 24: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6956 - accuracy: 0.7630 - val_loss: 0.7024 - val_accuracy: 0.7615 - lr: 0.0010\n", + "Epoch 25/30\n", + "485/487 [============================>.] - ETA: 0s - loss: 0.6946 - accuracy: 0.7633\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 25: val_loss improved from 0.70153 to 0.70150, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 25: val_loss improved from 0.70153 to 0.70150, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 25: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 25: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6945 - accuracy: 0.7633 - val_loss: 0.7015 - val_accuracy: 0.7604 - lr: 0.0010\n", + "Epoch 26/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.6947 - accuracy: 0.7633\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 26: val_loss improved from 0.70150 to 0.70076, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 26: val_loss improved from 0.70150 to 0.70076, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 26: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 26: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6950 - accuracy: 0.7633 - val_loss: 0.7008 - val_accuracy: 0.7627 - lr: 0.0010\n", + "Epoch 27/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.6942 - accuracy: 0.7634\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 27: val_loss improved from 0.70076 to 0.70009, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 27: val_loss improved from 0.70076 to 0.70009, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 27: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 27: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6941 - accuracy: 0.7634 - val_loss: 0.7001 - val_accuracy: 0.7611 - lr: 0.0010\n", + "Epoch 28/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7639\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 28: val_loss improved from 0.70009 to 0.69914, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 28: val_loss improved from 0.70009 to 0.69914, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 28: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 28: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6933 - accuracy: 0.7638 - val_loss: 0.6991 - val_accuracy: 0.7625 - lr: 0.0010\n", + "Epoch 29/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.6925 - accuracy: 0.7638\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 29: val_loss did not improve from 0.69914\n", + "\n", + "Epoch 29: val_loss did not improve from 0.69914\n", + "\n", + "Epoch 29: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 29: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6925 - accuracy: 0.7638 - val_loss: 0.6994 - val_accuracy: 0.7612 - lr: 0.0010\n", + "Epoch 30/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.6926 - accuracy: 0.7638\n", + "***callbacks***\n", + "saving losses to model_3/losses.log\n", + "\n", + "Epoch 30: val_loss improved from 0.69914 to 0.69765, saving model to model_3/KERAS_check_best_model.h5\n", + "\n", + "Epoch 30: val_loss improved from 0.69914 to 0.69765, saving model to model_3/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 30: saving model to model_3/KERAS_check_model_last.h5\n", + "\n", + "Epoch 30: saving model to model_3/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 30: saving model to model_3/KERAS_check_model_epoch30.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6923 - accuracy: 0.7639 - val_loss: 0.6976 - val_accuracy: 0.7622 - lr: 0.0010\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + } + ], "source": [ "train = True\n", "if train:\n", @@ -232,21 +836,179 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " fc1_input\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " fc1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu1\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc2_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu2\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc3_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu3\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " output_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " softmax\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n", + " exp_table_t: ap_fixed<18,8>\n", + " inv_table_t: ap_fixed<18,4>\n", + "-----------------------------------\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Writing HLS project\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", + " warnings.warn(\n", + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n", + "5188/5188 [==============================] - 6s 1ms/step\n" + ] + } + ], "source": [ "import hls4ml\n", "import plotting\n", "\n", - "config = hls4ml.utils.config_from_keras_model(model, granularity='name')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis')\n", "config['LayerName']['softmax']['exp_table_t'] = 'ap_fixed<18,8>'\n", "config['LayerName']['softmax']['inv_table_t'] = 'ap_fixed<18,4>'\n", "print(\"-----------------------------------\")\n", "plotting.print_dict(config)\n", "print(\"-----------------------------------\")\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_3/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='Vitis', output_dir='model_3/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", ")\n", "hls_model.compile()\n", "\n", @@ -258,9 +1020,40 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 5s 955us/step\n", + "Accuracy baseline: 0.7643975903614458\n", + "Accuracy pruned, quantized: 0.7611927710843374\n", + "Accuracy hls4ml: 0.7613795180722892\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "%matplotlib inline\n", "from sklearn.metrics import accuracy_score\n", @@ -301,14 +1094,451 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_3/hls4ml_prj/vivado_hls.log`" + "`tail -f model_3/hls4ml_prj/vitis_hls.log`" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", + " **** SW Build 5069499 on May 21 2024\n", + " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", + " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", + " **** Start of session at: Thu Sep 19 11:50:46 2024\n", + " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", + " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", + "\n", + "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", + "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:50:48 EDT 2024\n", + "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj'\n", + "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", + "Sourcing Tcl script 'build_prj.tcl'\n", + "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", + "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj'.\n", + "INFO: [HLS 200-1510] Running: set_top myproject \n", + "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", + "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", + "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", + "INFO: [HLS 200-1510] Running: open_solution solution1 \n", + "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1'.\n", + "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", + "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", + "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", + "SYNTAX \n", + " config_array_partition [OPTIONS]\n", + " -auto_partition_threshold *** DEPRECATED***\n", + " -auto_promotion_threshold *** DEPRECATED***\n", + " -complete_threshold \n", + " -throughput_driven \n", + "\n", + "SEE ALSO\n", + " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", + " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", + "\n", + "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", + "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", + "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", + "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", + "***** C/RTL SYNTHESIS *****\n", + "INFO: [HLS 200-1510] Running: csynth_design \n", + "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.08 seconds; current allocated memory: 326.414 MB.\n", + "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", + "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", + "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.58 seconds. CPU system time: 1.02 seconds. Elapsed time: 9.64 seconds; current allocated memory: 331.234 MB.\n", + "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", + "INFO: [HLS 200-1995] There were 8,693 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "WARNING: [HLS 200-1995] There were 244,858 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 74,082 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 73,510 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 73,152 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 27,011 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,531 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,531 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,563 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,515 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,515 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,323 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,129 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,129 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,135 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 11,145 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", + "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", + "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", + "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", + "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", + "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", + "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.75)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", + "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 67.36 seconds. CPU system time: 0.78 seconds. Elapsed time: 70.3 seconds; current allocated memory: 346.820 MB.\n", + "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.820 MB.\n", + "INFO: [HLS 200-10] Starting code transformations ...\n", + "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.28 seconds; current allocated memory: 356.148 MB.\n", + "INFO: [HLS 200-10] Checking synthesizability ...\n", + "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.38 seconds. CPU system time: 0 seconds. Elapsed time: 0.38 seconds; current allocated memory: 365.879 MB.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config7>'... converting 117 basic blocks.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config4>'... converting 233 basic blocks.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config10>'... converting 101 basic blocks.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:36:1)...4 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...229 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...425 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...216 expression(s) balanced.\n", + "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.44 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.48 seconds; current allocated memory: 401.934 MB.\n", + "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.05 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.08 seconds; current allocated memory: 497.398 MB.\n", + "INFO: [HLS 200-10] Starting hardware synthesis ...\n", + "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.66 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.7 seconds; current allocated memory: 505.848 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.19 seconds; current allocated memory: 506.281 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.55 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.56 seconds; current allocated memory: 520.941 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.23 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.24 seconds; current allocated memory: 520.945 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.31 seconds; current allocated memory: 539.043 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.62 seconds. CPU system time: 0 seconds. Elapsed time: 0.62 seconds; current allocated memory: 540.832 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.36 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.38 seconds; current allocated memory: 544.570 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.1 seconds; current allocated memory: 545.223 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.68 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.69 seconds; current allocated memory: 554.812 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.25 seconds. CPU system time: 0 seconds. Elapsed time: 0.25 seconds; current allocated memory: 555.246 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.29 seconds; current allocated memory: 560.211 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.08 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.08 seconds; current allocated memory: 560.910 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 563.523 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.04 seconds; current allocated memory: 563.523 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 4, function 'softmax_stable,softmax_config13>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.09 seconds. CPU system time: 0 seconds. Elapsed time: 0.11 seconds; current allocated memory: 564.441 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 564.441 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0 seconds. Elapsed time: 0.14 seconds; current allocated memory: 566.121 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.06 seconds. CPU system time: 0 seconds. Elapsed time: 0.06 seconds; current allocated memory: 566.121 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_21_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_21_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.27 seconds; current allocated memory: 582.750 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.51 seconds. CPU system time: 0.04 seconds. Elapsed time: 0.55 seconds; current allocated memory: 615.441 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5ns_10_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5s_11_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6ns_11_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 7 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.9 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.96 seconds; current allocated memory: 656.977 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.8 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.85 seconds; current allocated memory: 694.699 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5ns_10_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5s_11_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6ns_11_1_1': 21 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.44 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.47 seconds; current allocated memory: 716.375 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.49 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.53 seconds; current allocated memory: 741.863 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 759.371 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_18s_18s_30_1_0': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.18 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.21 seconds; current allocated memory: 774.750 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.33 seconds; current allocated memory: 782.383 MB.\n", + "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.6 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.64 seconds; current allocated memory: 799.004 MB.\n", + "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.35 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.39 seconds; current allocated memory: 838.094 MB.\n", + "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", + "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", + "INFO: [HLS 200-789] **** Estimated Fmax: 229.75 MHz\n", + "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:35; Allocated memory: 511.680 MB.\n", + "***** C/RTL SYNTHESIS COMPLETED IN 0h1m35s *****\n", + "INFO: [HLS 200-112] Total CPU user time: 94.41 seconds. Total CPU system time: 2.63 seconds. Total elapsed time: 99.14 seconds; peak allocated memory: 838.094 MB.\n", + "Vivado synthesis report not found.\n", + "Cosim report not found.\n", + "Timing report not found.\n" + ] + }, + { + "data": { + "text/plain": [ + "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", + " 'EstimatedClockPeriod': '4.353',\n", + " 'BestLatency': '12',\n", + " 'WorstLatency': '12',\n", + " 'IntervalMin': '1',\n", + " 'IntervalMax': '1',\n", + " 'BRAM_18K': '8',\n", + " 'DSP': '34',\n", + " 'FF': '3199',\n", + " 'LUT': '42160',\n", + " 'URAM': '0',\n", + " 'AvailableBRAM_18K': '5376',\n", + " 'AvailableDSP': '12288',\n", + " 'AvailableFF': '3456000',\n", + " 'AvailableLUT': '1728000',\n", + " 'AvailableURAM': '1280'}}" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hls_model.build(csim=False)" ] @@ -318,14 +1548,411 @@ "metadata": {}, "source": [ "## Check the reports\n", - "Print out the reports generated by Vivado HLS. Pay attention to the Utilization Estimates' section in particular this time." + "Print out the reports generated by Vitis HLS. Pay attention to the Utilization Estimates' section in particular this time." ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_3/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:52:23 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.353 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret5_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s_fu_258 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_349 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 3| 3| 15.000 ns| 15.000 ns| 1| 1| yes|\n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 10| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 34| 847| 42114| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 2352| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 34| 3199| 42160| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 1| ~0| 9| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| ~0| ~0| 2| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP| FF | LUT | URAM|\n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 29| 0| 8074| 0|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 86| 1449| 0|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s | 0| 0| 460| 13289| 0|\n", + " |call_ret5_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s_fu_258 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s | 0| 0| 0| 7628| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s | 0| 0| 0| 2475| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s | 0| 0| 0| 5742| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s | 0| 0| 0| 2871| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_349 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 301| 586| 0|\n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", + " |Total | | 8| 34| 847| 42114| 0|\n", + " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp246 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp253 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 10| 5| 6|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2237 | 6| 0| 6| 0|\n", + " |layer10_out_11_reg_2242 | 6| 0| 6| 0|\n", + " |layer10_out_12_reg_2247 | 6| 0| 6| 0|\n", + " |layer10_out_13_reg_2252 | 6| 0| 6| 0|\n", + " |layer10_out_14_reg_2257 | 6| 0| 6| 0|\n", + " |layer10_out_15_reg_2262 | 6| 0| 6| 0|\n", + " |layer10_out_16_reg_2267 | 6| 0| 6| 0|\n", + " |layer10_out_17_reg_2272 | 6| 0| 6| 0|\n", + " |layer10_out_18_reg_2277 | 6| 0| 6| 0|\n", + " |layer10_out_19_reg_2282 | 6| 0| 6| 0|\n", + " |layer10_out_1_reg_2187 | 6| 0| 6| 0|\n", + " |layer10_out_20_reg_2287 | 6| 0| 6| 0|\n", + " |layer10_out_21_reg_2292 | 6| 0| 6| 0|\n", + " |layer10_out_22_reg_2297 | 6| 0| 6| 0|\n", + " |layer10_out_23_reg_2302 | 6| 0| 6| 0|\n", + " |layer10_out_24_reg_2232 | 6| 0| 6| 0|\n", + " |layer10_out_2_reg_2192 | 6| 0| 6| 0|\n", + " |layer10_out_3_reg_2197 | 6| 0| 6| 0|\n", + " |layer10_out_4_reg_2202 | 6| 0| 6| 0|\n", + " |layer10_out_5_reg_2207 | 6| 0| 6| 0|\n", + " |layer10_out_6_reg_2212 | 6| 0| 6| 0|\n", + " |layer10_out_7_reg_2217 | 6| 0| 6| 0|\n", + " |layer10_out_8_reg_2222 | 6| 0| 6| 0|\n", + " |layer10_out_9_reg_2227 | 6| 0| 6| 0|\n", + " |layer10_out_reg_2182 | 6| 0| 6| 0|\n", + " |layer11_out_1_reg_2312 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2317 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2322 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2307 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1387 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1392 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1397 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1402 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1407 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1412 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1417 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1422 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1427 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1432 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1337 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1437 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1442 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1447 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1452 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1457 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1462 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1467 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1472 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1477 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1482 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1342 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1487 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1492 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1497 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1502 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1507 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1512 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1517 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1522 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1527 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1347 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1352 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1382 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1357 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1362 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1367 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1372 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1377 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1332 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1677 | 6| 0| 6| 0|\n", + " |layer4_out_11_reg_1682 | 6| 0| 6| 0|\n", + " |layer4_out_12_reg_1687 | 6| 0| 6| 0|\n", + " |layer4_out_13_reg_1692 | 6| 0| 6| 0|\n", + " |layer4_out_14_reg_1697 | 6| 0| 6| 0|\n", + " |layer4_out_15_reg_1702 | 6| 0| 6| 0|\n", + " |layer4_out_16_reg_1707 | 6| 0| 6| 0|\n", + " |layer4_out_17_reg_1712 | 6| 0| 6| 0|\n", + " |layer4_out_18_reg_1717 | 6| 0| 6| 0|\n", + " |layer4_out_19_reg_1722 | 6| 0| 6| 0|\n", + " |layer4_out_1_reg_1627 | 6| 0| 6| 0|\n", + " |layer4_out_20_reg_1727 | 6| 0| 6| 0|\n", + " |layer4_out_21_reg_1732 | 6| 0| 6| 0|\n", + " |layer4_out_22_reg_1737 | 6| 0| 6| 0|\n", + " |layer4_out_23_reg_1742 | 6| 0| 6| 0|\n", + " |layer4_out_24_reg_1747 | 6| 0| 6| 0|\n", + " |layer4_out_25_reg_1752 | 6| 0| 6| 0|\n", + " |layer4_out_26_reg_1757 | 6| 0| 6| 0|\n", + " |layer4_out_27_reg_1762 | 6| 0| 6| 0|\n", + " |layer4_out_28_reg_1767 | 6| 0| 6| 0|\n", + " |layer4_out_29_reg_1772 | 6| 0| 6| 0|\n", + " |layer4_out_2_reg_1632 | 6| 0| 6| 0|\n", + " |layer4_out_30_reg_1777 | 6| 0| 6| 0|\n", + " |layer4_out_31_reg_1782 | 6| 0| 6| 0|\n", + " |layer4_out_32_reg_1787 | 6| 0| 6| 0|\n", + " |layer4_out_33_reg_1792 | 6| 0| 6| 0|\n", + " |layer4_out_34_reg_1797 | 6| 0| 6| 0|\n", + " |layer4_out_35_reg_1802 | 6| 0| 6| 0|\n", + " |layer4_out_36_reg_1807 | 6| 0| 6| 0|\n", + " |layer4_out_37_reg_1812 | 6| 0| 6| 0|\n", + " |layer4_out_38_reg_1817 | 6| 0| 6| 0|\n", + " |layer4_out_39_reg_1822 | 6| 0| 6| 0|\n", + " |layer4_out_3_reg_1637 | 6| 0| 6| 0|\n", + " |layer4_out_40_reg_1827 | 6| 0| 6| 0|\n", + " |layer4_out_41_reg_1832 | 6| 0| 6| 0|\n", + " |layer4_out_42_reg_1837 | 6| 0| 6| 0|\n", + " |layer4_out_43_reg_1842 | 6| 0| 6| 0|\n", + " |layer4_out_44_reg_1847 | 6| 0| 6| 0|\n", + " |layer4_out_45_reg_1852 | 6| 0| 6| 0|\n", + " |layer4_out_46_reg_1857 | 6| 0| 6| 0|\n", + " |layer4_out_47_reg_1862 | 6| 0| 6| 0|\n", + " |layer4_out_48_reg_1867 | 6| 0| 6| 0|\n", + " |layer4_out_49_reg_1872 | 6| 0| 6| 0|\n", + " |layer4_out_4_reg_1642 | 6| 0| 6| 0|\n", + " |layer4_out_50_reg_1877 | 6| 0| 6| 0|\n", + " |layer4_out_51_reg_1882 | 6| 0| 6| 0|\n", + " |layer4_out_52_reg_1887 | 6| 0| 6| 0|\n", + " |layer4_out_53_reg_1892 | 6| 0| 6| 0|\n", + " |layer4_out_54_reg_1897 | 6| 0| 6| 0|\n", + " |layer4_out_55_reg_1902 | 6| 0| 6| 0|\n", + " |layer4_out_56_reg_1907 | 6| 0| 6| 0|\n", + " |layer4_out_57_reg_1672 | 6| 0| 6| 0|\n", + " |layer4_out_5_reg_1647 | 6| 0| 6| 0|\n", + " |layer4_out_6_reg_1652 | 6| 0| 6| 0|\n", + " |layer4_out_7_reg_1657 | 6| 0| 6| 0|\n", + " |layer4_out_8_reg_1662 | 6| 0| 6| 0|\n", + " |layer4_out_9_reg_1667 | 6| 0| 6| 0|\n", + " |layer4_out_reg_1622 | 6| 0| 6| 0|\n", + " |layer7_out_10_reg_1967 | 6| 0| 6| 0|\n", + " |layer7_out_11_reg_1972 | 6| 0| 6| 0|\n", + " |layer7_out_12_reg_1977 | 6| 0| 6| 0|\n", + " |layer7_out_13_reg_1982 | 6| 0| 6| 0|\n", + " |layer7_out_14_reg_1987 | 6| 0| 6| 0|\n", + " |layer7_out_15_reg_1992 | 6| 0| 6| 0|\n", + " |layer7_out_16_reg_1997 | 6| 0| 6| 0|\n", + " |layer7_out_17_reg_2002 | 6| 0| 6| 0|\n", + " |layer7_out_18_reg_2007 | 6| 0| 6| 0|\n", + " |layer7_out_19_reg_2012 | 6| 0| 6| 0|\n", + " |layer7_out_1_reg_1917 | 6| 0| 6| 0|\n", + " |layer7_out_20_reg_2017 | 6| 0| 6| 0|\n", + " |layer7_out_21_reg_2022 | 6| 0| 6| 0|\n", + " |layer7_out_22_reg_2027 | 6| 0| 6| 0|\n", + " |layer7_out_23_reg_2032 | 6| 0| 6| 0|\n", + " |layer7_out_24_reg_2037 | 6| 0| 6| 0|\n", + " |layer7_out_25_reg_2042 | 6| 0| 6| 0|\n", + " |layer7_out_26_reg_2047 | 6| 0| 6| 0|\n", + " |layer7_out_27_reg_2052 | 6| 0| 6| 0|\n", + " |layer7_out_28_reg_1962 | 6| 0| 6| 0|\n", + " |layer7_out_2_reg_1922 | 6| 0| 6| 0|\n", + " |layer7_out_3_reg_1927 | 6| 0| 6| 0|\n", + " |layer7_out_4_reg_1932 | 6| 0| 6| 0|\n", + " |layer7_out_5_reg_1937 | 6| 0| 6| 0|\n", + " |layer7_out_6_reg_1942 | 6| 0| 6| 0|\n", + " |layer7_out_7_reg_1947 | 6| 0| 6| 0|\n", + " |layer7_out_8_reg_1952 | 6| 0| 6| 0|\n", + " |layer7_out_9_reg_1957 | 6| 0| 6| 0|\n", + " |layer7_out_reg_1912 | 6| 0| 6| 0|\n", + " |layer8_out_10_reg_2112 | 16| 0| 16| 0|\n", + " |layer8_out_11_reg_2117 | 16| 0| 16| 0|\n", + " |layer8_out_12_reg_2122 | 16| 0| 16| 0|\n", + " |layer8_out_13_reg_2127 | 16| 0| 16| 0|\n", + " |layer8_out_14_reg_2132 | 16| 0| 16| 0|\n", + " |layer8_out_15_reg_2137 | 16| 0| 16| 0|\n", + " |layer8_out_16_reg_2142 | 16| 0| 16| 0|\n", + " |layer8_out_17_reg_2147 | 16| 0| 16| 0|\n", + " |layer8_out_18_reg_2152 | 16| 0| 16| 0|\n", + " |layer8_out_19_reg_2157 | 16| 0| 16| 0|\n", + " |layer8_out_1_reg_2062 | 16| 0| 16| 0|\n", + " |layer8_out_20_reg_2162 | 16| 0| 16| 0|\n", + " |layer8_out_21_reg_2167 | 16| 0| 16| 0|\n", + " |layer8_out_22_reg_2172 | 16| 0| 16| 0|\n", + " |layer8_out_23_reg_2177 | 16| 0| 16| 0|\n", + " |layer8_out_24_reg_2107 | 16| 0| 16| 0|\n", + " |layer8_out_2_reg_2067 | 16| 0| 16| 0|\n", + " |layer8_out_3_reg_2072 | 16| 0| 16| 0|\n", + " |layer8_out_4_reg_2077 | 16| 0| 16| 0|\n", + " |layer8_out_5_reg_2082 | 16| 0| 16| 0|\n", + " |layer8_out_6_reg_2087 | 16| 0| 16| 0|\n", + " |layer8_out_7_reg_2092 | 16| 0| 16| 0|\n", + " |layer8_out_8_reg_2097 | 16| 0| 16| 0|\n", + " |layer8_out_9_reg_2102 | 16| 0| 16| 0|\n", + " |layer8_out_reg_2057 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 2352| 0| 2352| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_3/hls4ml_prj')" ] @@ -341,9 +1968,408 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:32:21 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 14| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 1502| 5936| 101789| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3427| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 1502| 9363| 101839| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 1502| 5936| 101789| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 14| 7| 8|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", + " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", + " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", + " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", + " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", + " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", + " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3427| 0| 3427| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] @@ -352,16 +2378,383 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Print the report for the model trained in part 3. Both these models were trained with 75% sparsity, but the new model uses 6-bit precision as well. You can see how Vivado HLS has moved multiplication operations from DSPs into LUTs, reducing the \"critical\" resource usage.\n", + "Print the report for the model trained in part 3. Both these models were trained with 75% sparsity, but the new model uses 6-bit precision as well. You can see how Vitis HLS has moved multiplication operations from DSPs into LUTs, reducing the \"critical\" resource usage.\n", "\n", "**Note you need to have trained and synthesized the model from part 3**" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 12, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_2/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Thu Sep 19 11:46:49 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 10| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 834| 2204| 33803| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 2992| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 834| 5196| 33849| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 27| ~0| 7| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 6| ~0| 1| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 39| 0| 917| 0|\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 223| 0| 6644| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 378| 1218| 14255| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 189| 594| 7131| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 798| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2204| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1064| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 834| 2204| 33803| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp192 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp243 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 10| 5| 6|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2077 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2082 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2087 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2092 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2097 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2102 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2107 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2112 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2117 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2122 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2027 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2072 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2032 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2037 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2042 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2047 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2052 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2057 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2062 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2067 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2022 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2132 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2137 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2142 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2147 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2127 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1357 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1362 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1367 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1372 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1377 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1382 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1387 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1392 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1397 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1402 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1307 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1407 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1412 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1417 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1422 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1427 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1432 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1437 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1442 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1447 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1452 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1312 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1457 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1462 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1467 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1472 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1477 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1482 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1487 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1492 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1497 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1502 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1317 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1507 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1512 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1517 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1522 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1527 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1322 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1352 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1327 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1332 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1337 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1342 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1347 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1302 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1647 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1652 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1657 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1662 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1667 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1672 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1677 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1682 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1687 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1692 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1597 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1697 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1702 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1707 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1712 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1717 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1722 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1727 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1732 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1737 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1742 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1602 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1747 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_1752 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_1757 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_1762 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_1767 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_1772 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_1777 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_1782 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_1787 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_1792 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1607 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_1797 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_1802 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_1807 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_1812 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_1817 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_1822 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_1827 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_1832 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_1837 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_1842 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1612 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_1847 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_1642 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1617 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1622 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1627 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1632 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1637 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1592 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_1937 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_1942 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_1947 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_1952 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_1957 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_1962 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_1967 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_1972 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_1977 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_1982 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_1887 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_1987 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_1992 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_1997 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2002 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2007 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2012 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2017 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_1932 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_1892 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_1897 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_1902 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_1907 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_1912 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_1917 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_1922 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_1927 | 16| 0| 16| 0|\n", + " |layer7_out_reg_1882 | 16| 0| 16| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 2992| 0| 2992| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj')" ] @@ -371,7 +2764,7 @@ "metadata": {}, "source": [ "## NB\n", - "Note as well that the Vivado HLS resource estimates tend to _overestimate_ LUTs, while generally estimating the DSPs correctly. Running the subsequent stages of FPGA compilation reveals the more realistic resource usage, You can run the next step, 'logic synthesis' with `hls_model.build(synth=True, vsynth=True)`, but we skipped it in this tutorial in the interest of time." + "Note as well that the Vitis HLS resource estimates tend to _overestimate_ LUTs, while generally estimating the DSPs correctly. Running the subsequent stages of FPGA compilation reveals the more realistic resource usage, You can run the next step, 'logic synthesis' with `hls_model.build(synth=True, vsynth=True)`, but we skipped it in this tutorial in the interest of time." ] }, { @@ -398,7 +2791,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.13" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part5_bdt.ipynb b/part5_bdt.ipynb index 9b4640d7..8ca0c90e 100644 --- a/part5_bdt.ipynb +++ b/part5_bdt.ipynb @@ -17,10 +17,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "id": "eda9b784", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "Could not import conifer xgboost converter\n", + "Could not import conifer ydf converter\n", + "runtime module could not be imported. Interacting with accelerators will not be possible.\n", + "runtime module could not be imported. Interacting with FPUs will not be possible.\n" + ] + } + ], "source": [ "import numpy as np\n", "from sklearn.ensemble import GradientBoostingClassifier\n", @@ -32,7 +43,7 @@ "import matplotlib.pyplot as plt\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']\n", + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']\n", "np.random.seed(0)" ] }, @@ -48,7 +59,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "id": "1574ed18", "metadata": {}, "outputs": [], @@ -71,7 +82,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "id": "00f304bd", "metadata": {}, "outputs": [], @@ -94,10 +105,29 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "id": "f5044231", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + " Iter Train Loss Remaining Time \n", + " 1 0.8801 6.81m\n", + " 2 0.8163 6.45m\n", + " 3 0.7776 6.09m\n", + " 4 0.7616 5.78m\n", + " 5 0.7526 5.40m\n", + " 6 0.7458 5.04m\n", + " 7 0.7403 4.67m\n", + " 8 0.7359 4.33m\n", + " 9 0.7314 3.97m\n", + " 10 0.7249 3.61m\n", + " 20 0.6992 0.00s\n" + ] + } + ], "source": [ "train = True\n", "if train:\n", @@ -124,10 +154,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 20, "id": "5bab868f", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Backend: xilinxhls\n", + "ProjectName: my_prj\n", + "OutputDir: model_5/conifer_prj\n", + "XilinxPart: xcu250-figd2104-2L-e\n", + "ClockPeriod: 5\n", + "Unroll: True\n", + "AcceleratorConfig: None\n", + "Precision: ap_fixed<18,8>\n" + ] + } + ], "source": [ "cfg = conifer.backends.xilinxhls.auto_config()\n", "cfg['OutputDir'] = 'model_5/conifer_prj'\n", @@ -160,12 +205,13 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 21, "id": "7ebf5b06", "metadata": {}, "outputs": [], "source": [ - "cnf = conifer.model(clf, conifer.converters.sklearn, conifer.backends.vivadohls, cfg)\n", + "cnf = conifer.converters.convert_from_sklearn(clf, cfg)\n", + "#cnf = conifer.model(clf, conifer.converters.sklearn, conifer.backends.xilinxhls, cfg)\n", "cnf.compile()" ] }, @@ -181,10 +227,23 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 28, "id": "993fef56", "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "AttributeError", + "evalue": "'XilinxHLSModel' object has no attribute '_ensembleDict'", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mAttributeError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[28], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mcnf\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mprofile\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/conifer/model.py:358\u001b[0m, in \u001b[0;36mModelBase.profile\u001b[0;34m(self, bins, return_data, return_figure)\u001b[0m\n\u001b[1;32m 356\u001b[0m \u001b[38;5;28;01mexcept\u001b[39;00m \u001b[38;5;167;01mImportError\u001b[39;00m:\n\u001b[1;32m 357\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mException\u001b[39;00m(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mmatplotlib not found. Please install matplotlib\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[0;32m--> 358\u001b[0m value \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39marray([tree[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mvalue\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m trees \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_ensembleDict\u001b[49m[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mtrees\u001b[39m\u001b[38;5;124m'\u001b[39m]\n\u001b[1;32m 359\u001b[0m \u001b[38;5;28;01mfor\u001b[39;00m tree \u001b[38;5;129;01min\u001b[39;00m trees])\u001b[38;5;241m.\u001b[39mflatten()\n\u001b[1;32m 360\u001b[0m threshold \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39marray(\n\u001b[1;32m 361\u001b[0m [tree[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mthreshold\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m trees \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_ensembleDict[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mtrees\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m tree \u001b[38;5;129;01min\u001b[39;00m trees])\u001b[38;5;241m.\u001b[39mflatten()\n\u001b[1;32m 362\u001b[0m hv, bv \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39mhistogram(value, bins\u001b[38;5;241m=\u001b[39mbins)\n", + "\u001b[0;31mAttributeError\u001b[0m: 'XilinxHLSModel' object has no attribute '_ensembleDict'" + ] + } + ], "source": [ "cnf.profile()" ] @@ -201,7 +260,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 23, "id": "b9fd0fee", "metadata": {}, "outputs": [], @@ -223,10 +282,29 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 24, "id": "3a87c1b8", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy sklearn: 0.749132530120482\n", + "Accuracy conifer: 0.7489698795180723\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "yt = ohe.transform(y_test).toarray().astype(int)\n", "print(\"Accuracy sklearn: {}\".format(accuracy_score(np.argmax(yt, axis=1), np.argmax(y_skl, axis=1))))\n", @@ -251,10 +329,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 25, "id": "721814ef", "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "True" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "cnf.build()" ] @@ -271,20 +360,58 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 27, "id": "578a62c3", "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "UnboundLocalError", + "evalue": "local variable 'backend_name' referenced before assignment", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mUnboundLocalError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[27], line 3\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;28;01mimport\u001b[39;00m \u001b[38;5;21;01mhls4ml\u001b[39;00m\n\u001b[0;32m----> 3\u001b[0m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mreport\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mread_vivado_report\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mmodel_5/conifer_prj/\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/report/vivado_report.py:16\u001b[0m, in \u001b[0;36mread_vivado_report\u001b[0;34m(hls_dir, full_report)\u001b[0m\n\u001b[1;32m 13\u001b[0m top_func_name \u001b[38;5;241m=\u001b[39m \u001b[38;5;28;01mNone\u001b[39;00m\n\u001b[1;32m 15\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m os\u001b[38;5;241m.\u001b[39mpath\u001b[38;5;241m.\u001b[39misfile(hls_dir \u001b[38;5;241m+\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m/project.tcl\u001b[39m\u001b[38;5;124m'\u001b[39m):\n\u001b[0;32m---> 16\u001b[0m prj_dir, top_func_name \u001b[38;5;241m=\u001b[39m \u001b[43m_parse_project_script\u001b[49m\u001b[43m(\u001b[49m\u001b[43mhls_dir\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 18\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m prj_dir \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m \u001b[38;5;129;01mor\u001b[39;00m top_func_name \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m:\n\u001b[1;32m 19\u001b[0m \u001b[38;5;28mprint\u001b[39m(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mUnable to read project data. Exiting.\u001b[39m\u001b[38;5;124m'\u001b[39m)\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/report/vivado_report.py:49\u001b[0m, in \u001b[0;36m_parse_project_script\u001b[0;34m(path)\u001b[0m\n\u001b[1;32m 46\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124mset backend\u001b[39m\u001b[38;5;124m'\u001b[39m \u001b[38;5;129;01min\u001b[39;00m line:\n\u001b[1;32m 47\u001b[0m backend_name \u001b[38;5;241m=\u001b[39m line\u001b[38;5;241m.\u001b[39msplit(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m'\u001b[39m)[\u001b[38;5;241m-\u001b[39m\u001b[38;5;241m2\u001b[39m]\n\u001b[0;32m---> 49\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124maccelerator\u001b[39m\u001b[38;5;124m'\u001b[39m \u001b[38;5;129;01min\u001b[39;00m \u001b[43mbackend_name\u001b[49m:\n\u001b[1;32m 50\u001b[0m top_func_name \u001b[38;5;241m+\u001b[39m\u001b[38;5;241m=\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m_axi\u001b[39m\u001b[38;5;124m'\u001b[39m\n\u001b[1;32m 52\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m prj_dir, top_func_name\n", + "\u001b[0;31mUnboundLocalError\u001b[0m: local variable 'backend_name' referenced before assignment" + ] + } + ], "source": [ "import hls4ml\n", "\n", "hls4ml.report.read_vivado_report('model_5/conifer_prj/')" ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "afb67ad4-22c4-4b80-bfc0-6e299e43592a", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "c333e23f-40db-4758-b804-28a3ffc419fc", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "1947ea43-c742-4ee6-b6bb-087f10b3ef53", + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -298,7 +425,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.7.10" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part6_cnns.ipynb b/part6_cnns.ipynb index a069a712..2d9b0179 100644 --- a/part6_cnns.ipynb +++ b/part6_cnns.ipynb @@ -36,7 +36,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -62,9 +62,176 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 12:24:08.141816: W tensorflow/tsl/platform/cloud/google_auth_provider.cc:184] All attempts to get a Google authentication bearer token failed, returning an empty token. Retrieving token from files failed with \"NOT_FOUND: Could not locate the credentials file.\". Retrieving token from GCE failed with \"FAILED_PRECONDITION: Error executing an HTTP request: libcurl code 6 meaning 'Couldn't resolve host name', error details: Could not resolve host: metadata.google.internal\".\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\u001b[1mDownloading and preparing dataset Unknown size (download: Unknown size, generated: Unknown size, total: Unknown size) to /home/jschulte/tensorflow_datasets/svhn_cropped/3.0.0...\u001b[0m\n" + ] + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "dca9c4f0012e4ed2be7a117cb83eb8cc", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Dl Completed...: 0 url [00:00, ? url/s]" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "a5f43a1d2c154a0ea1a7e6b2775e0094", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Dl Size...: 0 MiB [00:00, ? MiB/s]" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Generating splits...: 0%| | 0/3 [00:00" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "ds_train, info = tfds.load('svhn_cropped', split='train[:90%]', with_info=True, as_supervised=True)\n", "ds_test = tfds.load('svhn_cropped', split='test', shuffle_files=True, as_supervised=True)\n", @@ -92,7 +259,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, "outputs": [], "source": [ @@ -104,9 +271,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "X train batch shape = (1024, 32, 32, 3), Y train batch shape = (1024, 10) \n", + "X test batch shape = (26032, 32, 32, 3), Y test batch shape = (26032, 10) \n" + ] + } + ], "source": [ "batch_size = 1024\n", "\n", @@ -143,9 +319,80 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Adding convolutional block 0 with N=16 filters\n", + "Adding convolutional block 1 with N=16 filters\n", + "Adding convolutional block 2 with N=24 filters\n", + "Adding dense block 0 with N=42 neurons\n", + "Adding dense block 1 with N=64 neurons\n", + "Model: \"keras_baseline\"\n", + "_________________________________________________________________\n", + " Layer (type) Output Shape Param # \n", + "=================================================================\n", + " input_1 (InputLayer) [(None, 32, 32, 3)] 0 \n", + " \n", + " conv_0 (Conv2D) (None, 30, 30, 16) 432 \n", + " \n", + " bn_conv_0 (BatchNormalizat (None, 30, 30, 16) 64 \n", + " ion) \n", + " \n", + " conv_act_0 (Activation) (None, 30, 30, 16) 0 \n", + " \n", + " pool_0 (MaxPooling2D) (None, 15, 15, 16) 0 \n", + " \n", + " conv_1 (Conv2D) (None, 13, 13, 16) 2304 \n", + " \n", + " bn_conv_1 (BatchNormalizat (None, 13, 13, 16) 64 \n", + " ion) \n", + " \n", + " conv_act_1 (Activation) (None, 13, 13, 16) 0 \n", + " \n", + " pool_1 (MaxPooling2D) (None, 6, 6, 16) 0 \n", + " \n", + " conv_2 (Conv2D) (None, 4, 4, 24) 3456 \n", + " \n", + " bn_conv_2 (BatchNormalizat (None, 4, 4, 24) 96 \n", + " ion) \n", + " \n", + " conv_act_2 (Activation) (None, 4, 4, 24) 0 \n", + " \n", + " pool_2 (MaxPooling2D) (None, 2, 2, 24) 0 \n", + " \n", + " flatten (Flatten) (None, 96) 0 \n", + " \n", + " dense_0 (Dense) (None, 42) 4032 \n", + " \n", + " bn_dense_0 (BatchNormaliza (None, 42) 168 \n", + " tion) \n", + " \n", + " dense_act_0 (Activation) (None, 42) 0 \n", + " \n", + " dense_1 (Dense) (None, 64) 2688 \n", + " \n", + " bn_dense_1 (BatchNormaliza (None, 64) 256 \n", + " tion) \n", + " \n", + " dense_act_1 (Activation) (None, 64) 0 \n", + " \n", + " output_dense (Dense) (None, 10) 650 \n", + " \n", + " output_softmax (Activation (None, 10) 0 \n", + " ) \n", + " \n", + "=================================================================\n", + "Total params: 14210 (55.51 KB)\n", + "Trainable params: 13886 (54.24 KB)\n", + "Non-trainable params: 324 (1.27 KB)\n", + "_________________________________________________________________\n" + ] + } + ], "source": [ "from tensorflow.keras.layers import Input\n", "from tensorflow.keras.layers import BatchNormalization\n", @@ -205,9 +452,22 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "conv_0: 432\n", + "conv_1: 2304\n", + "conv_2: 3456\n", + "dense_0: 4032\n", + "dense_1: 2688\n", + "output_dense: 640\n" + ] + } + ], "source": [ "for layer in model.layers:\n", " if layer.__class__.__name__ in ['Conv2D', 'Dense']:\n", @@ -234,9 +494,17 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Number of training steps per epoch is 64\n" + ] + } + ], "source": [ "import tensorflow_model_optimization as tfmot\n", "from tensorflow_model_optimization.sparsity import keras as sparsity\n", @@ -279,9 +547,91 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n", + "65/65 [==============================] - 5s 38ms/step - loss: 1.7091 - accuracy: 0.4598 - val_loss: 2.1194 - val_accuracy: 0.3228 - lr: 0.0030\n", + "Epoch 2/30\n", + "65/65 [==============================] - 2s 34ms/step - loss: 0.7770 - accuracy: 0.7942 - val_loss: 1.9621 - val_accuracy: 0.3583 - lr: 0.0030\n", + "Epoch 3/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.6218 - accuracy: 0.8424 - val_loss: 1.4053 - val_accuracy: 0.5617 - lr: 0.0030\n", + "Epoch 4/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.5545 - accuracy: 0.8617 - val_loss: 1.0818 - val_accuracy: 0.6850 - lr: 0.0030\n", + "Epoch 5/30\n", + "65/65 [==============================] - 2s 33ms/step - loss: 0.5144 - accuracy: 0.8727 - val_loss: 0.8633 - val_accuracy: 0.7427 - lr: 0.0030\n", + "Epoch 6/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4850 - accuracy: 0.8790 - val_loss: 0.7696 - val_accuracy: 0.7831 - lr: 0.0030\n", + "Epoch 7/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4607 - accuracy: 0.8859 - val_loss: 0.6046 - val_accuracy: 0.8387 - lr: 0.0030\n", + "Epoch 8/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4393 - accuracy: 0.8914 - val_loss: 0.5633 - val_accuracy: 0.8520 - lr: 0.0030\n", + "Epoch 9/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4223 - accuracy: 0.8955 - val_loss: 0.5241 - val_accuracy: 0.8635 - lr: 0.0030\n", + "Epoch 10/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4106 - accuracy: 0.8990 - val_loss: 0.5085 - val_accuracy: 0.8684 - lr: 0.0030\n", + "Epoch 11/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.4052 - accuracy: 0.8994 - val_loss: 0.5088 - val_accuracy: 0.8684 - lr: 0.0030\n", + "Epoch 12/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3989 - accuracy: 0.9024 - val_loss: 0.4777 - val_accuracy: 0.8776 - lr: 0.0030\n", + "Epoch 13/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3907 - accuracy: 0.9031 - val_loss: 0.4788 - val_accuracy: 0.8787 - lr: 0.0030\n", + "Epoch 14/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3866 - accuracy: 0.9050 - val_loss: 0.4852 - val_accuracy: 0.8750 - lr: 0.0030\n", + "Epoch 15/30\n", + "63/65 [============================>.] - ETA: 0s - loss: 0.3831 - accuracy: 0.9046\n", + "Epoch 15: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3827 - accuracy: 0.9044 - val_loss: 0.4863 - val_accuracy: 0.8709 - lr: 0.0030\n", + "Epoch 16/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3639 - accuracy: 0.9108 - val_loss: 0.4423 - val_accuracy: 0.8864 - lr: 0.0015\n", + "Epoch 17/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3566 - accuracy: 0.9126 - val_loss: 0.4498 - val_accuracy: 0.8844 - lr: 0.0015\n", + "Epoch 18/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3538 - accuracy: 0.9135 - val_loss: 0.4525 - val_accuracy: 0.8836 - lr: 0.0015\n", + "Epoch 19/30\n", + "63/65 [============================>.] - ETA: 0s - loss: 0.3519 - accuracy: 0.9134\n", + "Epoch 19: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3516 - accuracy: 0.9135 - val_loss: 0.4426 - val_accuracy: 0.8866 - lr: 0.0015\n", + "Epoch 20/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3404 - accuracy: 0.9172 - val_loss: 0.4440 - val_accuracy: 0.8877 - lr: 7.5000e-04\n", + "Epoch 21/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3384 - accuracy: 0.9178 - val_loss: 0.4457 - val_accuracy: 0.8856 - lr: 7.5000e-04\n", + "Epoch 22/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3362 - accuracy: 0.9182 - val_loss: 0.4410 - val_accuracy: 0.8885 - lr: 7.5000e-04\n", + "Epoch 23/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3351 - accuracy: 0.9183 - val_loss: 0.4450 - val_accuracy: 0.8848 - lr: 7.5000e-04\n", + "Epoch 24/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3331 - accuracy: 0.9190 - val_loss: 0.4477 - val_accuracy: 0.8868 - lr: 7.5000e-04\n", + "Epoch 25/30\n", + "64/65 [============================>.] - ETA: 0s - loss: 0.3306 - accuracy: 0.9196\n", + "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3307 - accuracy: 0.9196 - val_loss: 0.4443 - val_accuracy: 0.8882 - lr: 7.5000e-04\n", + "Epoch 26/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3261 - accuracy: 0.9212 - val_loss: 0.4412 - val_accuracy: 0.8888 - lr: 3.7500e-04\n", + "Epoch 27/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3245 - accuracy: 0.9209 - val_loss: 0.4415 - val_accuracy: 0.8860 - lr: 3.7500e-04\n", + "Epoch 28/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3228 - accuracy: 0.9218 - val_loss: 0.4407 - val_accuracy: 0.8881 - lr: 3.7500e-04\n", + "Epoch 29/30\n", + "65/65 [==============================] - 2s 31ms/step - loss: 0.3218 - accuracy: 0.9220 - val_loss: 0.4419 - val_accuracy: 0.8883 - lr: 3.7500e-04\n", + "Epoch 30/30\n", + "65/65 [==============================] - 2s 32ms/step - loss: 0.3221 - accuracy: 0.9219 - val_loss: 0.4421 - val_accuracy: 0.8878 - lr: 3.7500e-04\n", + "It took 1.1358303387959798 minutes to train Keras model\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n" + ] + } + ], "source": [ "train = True # True if you want to retrain, false if you want to load a previsously trained model\n", "\n", @@ -343,9 +693,74 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Adding fused QConv+BN block 0 with N=16 filters\n", + "Adding fused QConv+BN block 1 with N=16 filters\n", + "Adding fused QConv+BN block 2 with N=24 filters\n", + "Adding QDense block 0 with N=42 neurons\n", + "Adding QDense block 1 with N=64 neurons\n", + "Model: \"qkeras\"\n", + "_________________________________________________________________\n", + " Layer (type) Output Shape Param # \n", + "=================================================================\n", + " input_2 (InputLayer) [(None, 32, 32, 3)] 0 \n", + " \n", + " fused_convbn_0 (QConv2DBat (None, 30, 30, 16) 513 \n", + " chnorm) \n", + " \n", + " conv_act_0 (QActivation) (None, 30, 30, 16) 0 \n", + " \n", + " pool_0 (MaxPooling2D) (None, 15, 15, 16) 0 \n", + " \n", + " fused_convbn_1 (QConv2DBat (None, 13, 13, 16) 2385 \n", + " chnorm) \n", + " \n", + " conv_act_1 (QActivation) (None, 13, 13, 16) 0 \n", + " \n", + " pool_1 (MaxPooling2D) (None, 6, 6, 16) 0 \n", + " \n", + " fused_convbn_2 (QConv2DBat (None, 4, 4, 24) 3577 \n", + " chnorm) \n", + " \n", + " conv_act_2 (QActivation) (None, 4, 4, 24) 0 \n", + " \n", + " pool_2 (MaxPooling2D) (None, 2, 2, 24) 0 \n", + " \n", + " flatten_1 (Flatten) (None, 96) 0 \n", + " \n", + " dense_0 (QDense) (None, 42) 4032 \n", + " \n", + " bn_dense_0 (BatchNormaliza (None, 42) 168 \n", + " tion) \n", + " \n", + " dense_act_0 (QActivation) (None, 42) 0 \n", + " \n", + " dense_1 (QDense) (None, 64) 2688 \n", + " \n", + " bn_dense_1 (BatchNormaliza (None, 64) 256 \n", + " tion) \n", + " \n", + " dense_act_1 (QActivation) (None, 64) 0 \n", + " \n", + " output_dense (Dense) (None, 10) 650 \n", + " \n", + " output_softmax (Activation (None, 10) 0 \n", + " ) \n", + " \n", + "=================================================================\n", + "Total params: 14269 (55.75 KB)\n", + "Trainable params: 13942 (54.46 KB)\n", + "Non-trainable params: 327 (1.29 KB)\n", + "_________________________________________________________________\n" + ] + } + ], "source": [ "from qkeras import QActivation\n", "from qkeras import QDense, QConv2DBatchnorm\n", @@ -390,9 +805,29 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "fused_convbn_0 f=16 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", + "conv_act_0 quantized_relu(6)\n", + "fused_convbn_1 f=16 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", + "conv_act_1 quantized_relu(6)\n", + "fused_convbn_2 f=24 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", + "conv_act_2 quantized_relu(6)\n", + "dense_0 u=42 quantized_bits(6,0,0,alpha=1) \n", + "bn_dense_0 is normal keras bn layer\n", + "dense_act_0 quantized_relu(6)\n", + "dense_1 u=64 quantized_bits(6,0,0,alpha=1) \n", + "bn_dense_1 is normal keras bn layer\n", + "dense_act_1 quantized_relu(6)\n", + "\n" + ] + } + ], "source": [ "# Print the quantized layers\n", "from qkeras.autoqkeras.utils import print_qmodel_summary\n", @@ -415,7 +850,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 12, "metadata": {}, "outputs": [], "source": [ @@ -424,9 +859,95 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 13, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n", + "65/65 [==============================] - 11s 108ms/step - loss: 2.1164 - accuracy: 0.2998 - val_loss: 2.2910 - val_accuracy: 0.2453 - lr: 0.0030\n", + "Epoch 2/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 1.3146 - accuracy: 0.6126 - val_loss: 2.4964 - val_accuracy: 0.2293 - lr: 0.0030\n", + "Epoch 3/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.8980 - accuracy: 0.7561 - val_loss: 2.0303 - val_accuracy: 0.3686 - lr: 0.0030\n", + "Epoch 4/30\n", + "65/65 [==============================] - 6s 98ms/step - loss: 0.7446 - accuracy: 0.8041 - val_loss: 1.8031 - val_accuracy: 0.4176 - lr: 0.0030\n", + "Epoch 5/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.7407 - accuracy: 0.8008 - val_loss: 1.5996 - val_accuracy: 0.4782 - lr: 0.0030\n", + "Epoch 6/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.6485 - accuracy: 0.8288 - val_loss: 1.3250 - val_accuracy: 0.5897 - lr: 0.0030\n", + "Epoch 7/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.6032 - accuracy: 0.8411 - val_loss: 1.0705 - val_accuracy: 0.6684 - lr: 0.0030\n", + "Epoch 8/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.5618 - accuracy: 0.8532 - val_loss: 0.7802 - val_accuracy: 0.7781 - lr: 0.0030\n", + "Epoch 9/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.5479 - accuracy: 0.8551 - val_loss: 0.7885 - val_accuracy: 0.7718 - lr: 0.0030\n", + "Epoch 10/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5840 - accuracy: 0.8425 - val_loss: 0.9048 - val_accuracy: 0.7310 - lr: 0.0030\n", + "Epoch 11/30\n", + "64/65 [============================>.] - ETA: 0s - loss: 0.5866 - accuracy: 0.8401\n", + "Epoch 11: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5867 - accuracy: 0.8401 - val_loss: 0.8958 - val_accuracy: 0.7348 - lr: 0.0030\n", + "Epoch 12/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5475 - accuracy: 0.8530 - val_loss: 0.7049 - val_accuracy: 0.8047 - lr: 0.0015\n", + "Epoch 13/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5350 - accuracy: 0.8566 - val_loss: 0.6297 - val_accuracy: 0.8277 - lr: 0.0015\n", + "Epoch 14/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5187 - accuracy: 0.8615 - val_loss: 0.6393 - val_accuracy: 0.8231 - lr: 0.0015\n", + "Epoch 15/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.5049 - accuracy: 0.8664 - val_loss: 0.5916 - val_accuracy: 0.8385 - lr: 0.0015\n", + "Epoch 16/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.5057 - accuracy: 0.8648 - val_loss: 0.5799 - val_accuracy: 0.8432 - lr: 0.0015\n", + "Epoch 17/30\n", + "65/65 [==============================] - 7s 99ms/step - loss: 0.4973 - accuracy: 0.8663 - val_loss: 0.5955 - val_accuracy: 0.8373 - lr: 0.0015\n", + "Epoch 18/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4882 - accuracy: 0.8700 - val_loss: 0.5706 - val_accuracy: 0.8474 - lr: 0.0015\n", + "Epoch 19/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4792 - accuracy: 0.8724 - val_loss: 0.5528 - val_accuracy: 0.8565 - lr: 0.0015\n", + "Epoch 20/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4807 - accuracy: 0.8717 - val_loss: 0.6011 - val_accuracy: 0.8367 - lr: 0.0015\n", + "Epoch 21/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4774 - accuracy: 0.8720 - val_loss: 0.5386 - val_accuracy: 0.8545 - lr: 0.0015\n", + "Epoch 22/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4732 - accuracy: 0.8734 - val_loss: 0.6162 - val_accuracy: 0.8283 - lr: 0.0015\n", + "Epoch 23/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4930 - accuracy: 0.8676 - val_loss: 0.6711 - val_accuracy: 0.8175 - lr: 0.0015\n", + "Epoch 24/30\n", + "64/65 [============================>.] - ETA: 0s - loss: 0.4738 - accuracy: 0.8733\n", + "Epoch 24: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4738 - accuracy: 0.8733 - val_loss: 0.5831 - val_accuracy: 0.8382 - lr: 0.0015\n", + "Epoch 25/30\n", + "65/65 [==============================] - 7s 100ms/step - loss: 0.4615 - accuracy: 0.8769 - val_loss: 0.5441 - val_accuracy: 0.8559 - lr: 7.5000e-04\n", + "Epoch 26/30\n", + "65/65 [==============================] - 7s 101ms/step - loss: 0.4487 - accuracy: 0.8816 - val_loss: 0.5294 - val_accuracy: 0.8561 - lr: 7.5000e-04\n", + "Epoch 27/30\n", + "65/65 [==============================] - 7s 102ms/step - loss: 0.4468 - accuracy: 0.8826 - val_loss: 0.5266 - val_accuracy: 0.8595 - lr: 7.5000e-04\n", + "Epoch 28/30\n", + "65/65 [==============================] - 7s 101ms/step - loss: 0.4492 - accuracy: 0.8807 - val_loss: 0.5343 - val_accuracy: 0.8550 - lr: 7.5000e-04\n", + "Epoch 29/30\n", + "65/65 [==============================] - 7s 102ms/step - loss: 0.4437 - accuracy: 0.8819 - val_loss: 0.5948 - val_accuracy: 0.8389 - lr: 7.5000e-04\n", + "Epoch 30/30\n", + "64/65 [============================>.] - ETA: 0s - loss: 0.4444 - accuracy: 0.8823\n", + "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", + "65/65 [==============================] - 7s 101ms/step - loss: 0.4445 - accuracy: 0.8822 - val_loss: 0.5604 - val_accuracy: 0.8452 - lr: 7.5000e-04\n", + "\n", + " It took 3.362037507692973 minutes to train!\n", + "\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n", + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", + " warnings.warn(\n" + ] + } + ], "source": [ "train = True\n", "\n", @@ -476,9 +997,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 14, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "814/814 [==============================] - 2s 2ms/step\n", + "814/814 [==============================] - 2s 2ms/step - loss: 0.4826 - accuracy: 0.8762\n", + "814/814 [==============================] - 4s 4ms/step\n", + "814/814 [==============================] - 3s 4ms/step - loss: 0.5944 - accuracy: 0.8364\n", + "Keras accuracy = 0.8761908411979675 , QKeras 6-bit accuracy = 0.8363936543464661\n" + ] + } + ], "source": [ "predict_baseline = model_pruned.predict(X_test)\n", "test_score_baseline = model_pruned.evaluate(X_test, Y_test)\n", @@ -491,9 +1024,37 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 15, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Plotting ROC for labels ['0', '1', '2', '3', '4', '5', '6', '7', '8', '9']\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 15, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import matplotlib.pyplot as plt\n", "import pandas as pd\n", @@ -574,9 +1135,55 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Layer prune_low_magnitude_conv_0: % of zeros = 0.5\n", + "Layer bn_conv_0: % of zeros = 0.0\n", + "Layer prune_low_magnitude_conv_1: % of zeros = 0.5\n", + "Layer bn_conv_1: % of zeros = 0.0\n", + "Layer prune_low_magnitude_conv_2: % of zeros = 0.5\n", + "Layer bn_conv_2: % of zeros = 0.0\n", + "Layer prune_low_magnitude_dense_0: % of zeros = 0.5\n", + "Layer bn_dense_0: % of zeros = 0.0\n", + "Layer prune_low_magnitude_dense_1: % of zeros = 0.5\n", + "Layer bn_dense_1: % of zeros = 0.0\n", + "Layer output_dense: % of zeros = 0.0\n", + "Layer prune_low_magnitude_fused_convbn_0: % of zeros = 0.5\n", + "Layer prune_low_magnitude_fused_convbn_1: % of zeros = 0.5\n", + "Layer prune_low_magnitude_fused_convbn_2: % of zeros = 0.5\n", + "Layer prune_low_magnitude_dense_0: % of zeros = 0.5\n", + "Layer bn_dense_0: % of zeros = 0.0\n", + "Layer prune_low_magnitude_dense_1: % of zeros = 0.5\n", + "Layer bn_dense_1: % of zeros = 0.0\n", + "Layer output_dense: % of zeros = 0.0\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def doWeights(model):\n", " allWeightsByLayer = {}\n", @@ -634,7 +1241,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 17, "metadata": {}, "outputs": [], "source": [ @@ -676,39 +1283,274 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 36, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Model\n", + "Topology:\n", + "Layer name: input_1, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", + "Layer name: conv_0, layer type: Conv2D, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", + "Layer name: bn_conv_0, layer type: BatchNormalization, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", + "Layer name: conv_1, layer type: Conv2D, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: bn_conv_1, layer type: BatchNormalization, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", + "Layer name: conv_2, layer type: Conv2D, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", + "Layer name: bn_conv_2, layer type: BatchNormalization, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", + "Layer name: flatten, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", + "Layer name: dense_0, layer type: Dense, input shapes: [[None, 96]], output shape: [None, 42]\n", + "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_1, layer type: Dense, input shapes: [[None, 42]], output shape: [None, 64]\n", + "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", + "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", + "Model\n", + " Precision: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " input_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " conv_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " conv_0_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_conv_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " scale: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " conv_act_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " conv_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " conv_1_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_conv_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " scale: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " conv_act_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " conv_2\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " conv_2_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_conv_2\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " scale: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " conv_act_2\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_2\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " flatten\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " dense_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_0_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_dense_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " scale: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_act_0\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " dense_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_1_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_dense_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " scale: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_act_1\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output_dense\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " weight: ap_fixed<16,6>\n", + " bias: ap_fixed<16,6>\n", + " accum: ap_fixed<16,6>\n", + " ReuseFactor: 1\n", + " output_dense_linear\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output_softmax\n", + " Trace: False\n", + " Precision\n", + " result: ap_fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n" + ] + }, + { + "ename": "TypeError", + "evalue": "keras_to_hls() got an unexpected keyword argument 'hls_config'", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[36], line 9\u001b[0m\n\u001b[1;32m 5\u001b[0m hls_config \u001b[38;5;241m=\u001b[39m hls4ml\u001b[38;5;241m.\u001b[39mutils\u001b[38;5;241m.\u001b[39mconfig_from_keras_model(model, granularity\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mname\u001b[39m\u001b[38;5;124m'\u001b[39m, backend\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVitis\u001b[39m\u001b[38;5;124m'\u001b[39m, default_precision\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124map_fixed<16,6>\u001b[39m\u001b[38;5;124m'\u001b[39m )\n\u001b[1;32m 7\u001b[0m plotting\u001b[38;5;241m.\u001b[39mprint_dict(hls_config)\n\u001b[0;32m----> 9\u001b[0m hls_model \u001b[38;5;241m=\u001b[39m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconverters\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mkeras_to_hls\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mhls_config\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mhls_config\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43moutput_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpruned_cnn\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mVitis\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mpart\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mxcu250-figd2104-2L-e\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mio_type\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mio_stream\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 10\u001b[0m hls_model\u001b[38;5;241m.\u001b[39mcompile()\n", + "\u001b[0;31mTypeError\u001b[0m: keras_to_hls() got an unexpected keyword argument 'hls_config'" + ] + } + ], "source": [ "import hls4ml\n", "import plotting\n", "\n", "# First, the baseline model\n", - "hls_config = hls4ml.utils.config_from_keras_model(model, granularity='name')\n", + "hls_config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis', default_precision='ap_fixed<16,6>' )\n", "\n", - "# Set the precision and reuse factor for the full model\n", - "hls_config['Model']['Precision'] = 'ap_fixed<16,6>'\n", - "hls_config['Model']['ReuseFactor'] = 1\n", - "\n", - "# Create an entry for each layer, here you can for instance change the strategy for a layer to 'resource'\n", - "# or increase the reuse factor individually for large layers.\n", - "# In this case, we designed the model to be small enough for a fully parallel implementation\n", - "# so we use the latency strategy and reuse factor of 1 for all layers.\n", - "for Layer in hls_config['LayerName'].keys():\n", - " hls_config['LayerName'][Layer]['Strategy'] = 'Latency'\n", - " hls_config['LayerName'][Layer]['ReuseFactor'] = 1\n", - "# If you want best numerical performance for high-accuray models, while the default latency strategy is faster but numerically more unstable\n", - "hls_config['LayerName']['output_softmax']['Strategy'] = 'Stable'\n", "plotting.print_dict(hls_config)\n", "\n", - "cfg = hls4ml.converters.create_config(backend='Vivado')\n", - "cfg['IOType'] = 'io_stream' # Must set this if using CNNs!\n", - "cfg['HLSConfig'] = hls_config\n", - "cfg['KerasModel'] = model\n", - "cfg['OutputDir'] = 'pruned_cnn/'\n", - "cfg['XilinxPart'] = 'xcu250-figd2104-2L-e'\n", - "\n", - "hls_model = hls4ml.converters.keras_to_hls(cfg)\n", + "hls_model = hls4ml.converters.keras_to_hls(model, hls_config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream')\n", "hls_model.compile()" ] }, @@ -725,18 +1567,98 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 37, "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "execution_count": 37, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "hls4ml.utils.plot_model(hls_model, show_shapes=True, show_precision=True, to_file=None)" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 38, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Model\n", + "Topology:\n", + "Layer name: input_1, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", + "Layer name: conv_0, layer type: Conv2D, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", + "Layer name: bn_conv_0, layer type: BatchNormalization, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", + "Layer name: conv_1, layer type: Conv2D, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: bn_conv_1, layer type: BatchNormalization, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", + "Layer name: conv_2, layer type: Conv2D, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", + "Layer name: bn_conv_2, layer type: BatchNormalization, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", + "Layer name: flatten, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", + "Layer name: dense_0, layer type: Dense, input shapes: [[None, 96]], output shape: [None, 42]\n", + "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_1, layer type: Dense, input shapes: [[None, 42]], output shape: [None, 64]\n", + "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", + "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", + "Creating HLS model\n", + "WARNING: Layer conv_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", + "Profiling weights (before optimization)\n", + "Profiling weights (final / after optimization)\n" + ] + }, + { + "data": { + "text/plain": [ + "(
,\n", + "
,\n", + " None,\n", + " None)" + ] + }, + "execution_count": 38, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "hls4ml.model.profiling.numerical(model=model, hls_model=hls_model)" ] @@ -754,18 +1676,282 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 39, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Model\n", + "Topology:\n", + "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", + "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", + "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", + "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", + "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", + "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", + "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", + "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", + "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", + "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", + "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " input_2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " fused_convbn_0\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " fused_convbn_0_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " conv_act_0\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_0\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " fused_convbn_1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " fused_convbn_1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " conv_act_1\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " fused_convbn_2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ParallelizationFactor:1\n", + " ConvImplementation:LineBuffer\n", + " fused_convbn_2_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " conv_act_2\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " pool_2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " ConvImplementation:LineBuffer\n", + " flatten_1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " dense_0\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_0_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_dense_0\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " scale: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_act_0\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " dense_1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " bn_dense_1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " scale: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " ReuseFactor: 1\n", + " dense_act_1\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output_dense\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " output_dense_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output_softmax\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n", + " Strategy: Stable\n", + "Interpreting Model\n", + "Topology:\n", + "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", + "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", + "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", + "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", + "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", + "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", + "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", + "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", + "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", + "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", + "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", + "Creating HLS model\n", + "WARNING: Layer fused_convbn_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", + "Writing HLS project\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", + " warnings.warn(\n", + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n" + ] + } + ], "source": [ "# Then the QKeras model\n", - "hls_config_q = hls4ml.utils.config_from_keras_model(qmodel, granularity='name')\n", + "hls_config_q = hls4ml.utils.config_from_keras_model(qmodel, granularity='name', backend='Vitis')\n", "hls_config_q['Model']['ReuseFactor'] = 1\n", "hls_config['Model']['Precision'] = 'ap_fixed<16,6>'\n", "hls_config_q['LayerName']['output_softmax']['Strategy'] = 'Stable'\n", "plotting.print_dict(hls_config_q)\n", "\n", - "cfg_q = hls4ml.converters.create_config(backend='Vivado')\n", + "cfg_q = hls4ml.converters.create_config(backend='Vitis')\n", "cfg_q['IOType'] = 'io_stream' # Must set this if using CNNs!\n", "cfg_q['HLSConfig'] = hls_config_q\n", "cfg_q['KerasModel'] = qmodel\n", @@ -789,9 +1975,76 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 40, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Model\n", + "Topology:\n", + "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", + "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", + "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", + "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", + "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", + "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", + "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", + "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", + "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", + "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", + "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", + "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", + "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", + "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", + "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", + "Creating HLS model\n", + "WARNING: Layer fused_convbn_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", + "Profiling weights (before optimization)\n", + "Weights for dense_0 are only zeros, ignoring.\n", + "Weights for dense_1 are only zeros, ignoring.\n", + "Profiling weights (final / after optimization)\n", + "Weights for dense_0 are only zeros, ignoring.\n", + "Weights for dense_1 are only zeros, ignoring.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "execution_count": 40, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "hls4ml.model.profiling.numerical(model=qmodel, hls_model=hls_model_q)\n", "hls4ml.utils.plot_model(hls_model_q, show_shapes=True, show_precision=True, to_file=None)" @@ -822,7 +2075,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 41, "metadata": {}, "outputs": [], "source": [ @@ -832,9 +2085,17 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 42, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "94/94 [==============================] - 0s 2ms/step\n" + ] + } + ], "source": [ "y_predict = model.predict(X_test_reduced)\n", "y_predict_hls4ml = hls_model.predict(np.ascontiguousarray(X_test_reduced))" @@ -842,9 +2103,17 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 43, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "94/94 [==============================] - 1s 4ms/step\n" + ] + } + ], "source": [ "y_predict_q = qmodel.predict(X_test_reduced)\n", "y_predict_hls4ml_q = hls_model_q.predict(np.ascontiguousarray(X_test_reduced))" @@ -852,9 +2121,40 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 44, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Accuracy Keras: 0.875\n", + "Accuracy hls4ml: 0.8766666666666667\n", + "Accuracy Keras: 0.832\n", + "Accuracy hls4ml: 0.8313333333333334\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import plotting\n", "from sklearn.metrics import accuracy_score\n", @@ -906,13 +2206,13 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 45, "metadata": {}, "outputs": [], "source": [ "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']\n", + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']\n", "\n", "synth = False # Only if you want to synthesize the models yourself (>1h per model) rather than look at the provided reports.\n", "if synth:\n", @@ -934,7 +2234,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 46, "metadata": {}, "outputs": [], "source": [ @@ -971,9 +2271,44 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 47, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found valid vsynth and synth in pruned_cnn! Fetching numbers\n", + "Found valid vsynth and synth in quantized_pruned_cnn! Fetching numbers\n", + "\n", + " Resource usage and latency: Pruned\n", + "{'bram': 42.0,\n", + " 'bram_rel': 1.56,\n", + " 'dsp': 5386,\n", + " 'dsp_rel': 43.83,\n", + " 'ff': 43435,\n", + " 'ff_rel': 1.26,\n", + " 'latency_clks': 1044,\n", + " 'latency_ii': 1028,\n", + " 'latency_mus': 5.22,\n", + " 'lut': 123948,\n", + " 'lut_rel': 7.17}\n", + "\n", + " Resource usage and latency: Pruned + quantized\n", + "{'bram': 34.0,\n", + " 'bram_rel': 1.26,\n", + " 'dsp': 353,\n", + " 'dsp_rel': 2.87,\n", + " 'ff': 30702,\n", + " 'ff_rel': 0.89,\n", + " 'latency_clks': 1044,\n", + " 'latency_ii': 1028,\n", + " 'latency_mus': 5.22,\n", + " 'lut': 118931,\n", + " 'lut_rel': 6.88}\n" + ] + } + ], "source": [ "from pathlib import Path\n", "import pprint\n", @@ -1025,9 +2360,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 48, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Adding convolutional block 0 with N=16 filters\n", + "Adding convolutional block 1 with N=16 filters\n", + "Adding convolutional block 2 with N=24 filters\n", + "Adding dense block 0 with N=42 neurons\n", + "Adding dense block 1 with N=64 neurons\n" + ] + } + ], "source": [ "filters_per_conv_layer = [16, 16, 24]\n", "neurons_per_dense_layer = [42, 64]\n", @@ -1068,9 +2415,841 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 49, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "{\n", + " \"source_quantizers\": [\n", + " {\n", + " \"quantizer_type\": \"quantized_bits\",\n", + " \"bits\": 16,\n", + " \"int_bits\": 6,\n", + " \"is_signed\": true\n", + " }\n", + " ],\n", + " \"conv_0\": {\n", + " \"layer_type\": \"Conv2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"quantized_bits\",\n", + " \"bits\": 16,\n", + " \"int_bits\": 6,\n", + " \"is_signed\": true\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 30,\n", + " 30,\n", + " 16\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 3,\n", + " 3,\n", + " 3,\n", + " 16\n", + " ]\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 388800\n", + " },\n", + " \"bn_conv_0\": {\n", + " \"layer_type\": \"BatchNormalization\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 30,\n", + " 30,\n", + " 16\n", + " ]\n", + " },\n", + " \"gamma_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"beta_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"mean_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"variance_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"internal_divide_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 14400\n", + " },\n", + " \"conv_act_0\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 30,\n", + " 30,\n", + " 16\n", + " ]\n", + " },\n", + " \"operation_count\": 14400\n", + " },\n", + " \"pool_0\": {\n", + " \"layer_type\": \"MaxPooling2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 15,\n", + " 15,\n", + " 16\n", + " ]\n", + " },\n", + " \"operation_count\": 14400\n", + " },\n", + " \"conv_1\": {\n", + " \"layer_type\": \"Conv2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 13,\n", + " 13,\n", + " 16\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 3,\n", + " 3,\n", + " 16,\n", + " 16\n", + " ]\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 389376\n", + " },\n", + " \"bn_conv_1\": {\n", + " \"layer_type\": \"BatchNormalization\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 13,\n", + " 13,\n", + " 16\n", + " ]\n", + " },\n", + " \"gamma_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"beta_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"mean_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"variance_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"internal_divide_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 2704\n", + " },\n", + " \"conv_act_1\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 13,\n", + " 13,\n", + " 16\n", + " ]\n", + " },\n", + " \"operation_count\": 2704\n", + " },\n", + " \"pool_1\": {\n", + " \"layer_type\": \"MaxPooling2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 6,\n", + " 6,\n", + " 16\n", + " ]\n", + " },\n", + " \"operation_count\": 2704\n", + " },\n", + " \"conv_2\": {\n", + " \"layer_type\": \"Conv2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 4,\n", + " 4,\n", + " 24\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 3,\n", + " 3,\n", + " 16,\n", + " 24\n", + " ]\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 55296\n", + " },\n", + " \"bn_conv_2\": {\n", + " \"layer_type\": \"BatchNormalization\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 4,\n", + " 4,\n", + " 24\n", + " ]\n", + " },\n", + " \"gamma_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"beta_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"mean_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"variance_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"internal_divide_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 384\n", + " },\n", + " \"conv_act_2\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 4,\n", + " 4,\n", + " 24\n", + " ]\n", + " },\n", + " \"operation_count\": 384\n", + " },\n", + " \"pool_2\": {\n", + " \"layer_type\": \"MaxPooling2D\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 2,\n", + " 2,\n", + " 24\n", + " ]\n", + " },\n", + " \"operation_count\": 384\n", + " },\n", + " \"flatten\": {\n", + " \"layer_type\": \"Flatten\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 96\n", + " ]\n", + " },\n", + " \"operation_count\": 96\n", + " },\n", + " \"dense_0\": {\n", + " \"layer_type\": \"Dense\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 42\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 96,\n", + " 42\n", + " ]\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 4032\n", + " },\n", + " \"bn_dense_0\": {\n", + " \"layer_type\": \"BatchNormalization\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 42\n", + " ]\n", + " },\n", + " \"gamma_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"beta_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"mean_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"variance_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"internal_divide_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 42\n", + " },\n", + " \"dense_act_0\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 42\n", + " ]\n", + " },\n", + " \"operation_count\": 42\n", + " },\n", + " \"dense_1\": {\n", + " \"layer_type\": \"Dense\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 64\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 42,\n", + " 64\n", + " ]\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 2688\n", + " },\n", + " \"bn_dense_1\": {\n", + " \"layer_type\": \"BatchNormalization\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 64\n", + " ]\n", + " },\n", + " \"gamma_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"beta_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"mean_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"variance_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " },\n", + " \"internal_divide_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"internal_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 64\n", + " },\n", + " \"dense_act_1\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 64\n", + " ]\n", + " },\n", + " \"operation_count\": 64\n", + " },\n", + " \"output_dense\": {\n", + " \"layer_type\": \"Dense\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 10\n", + " ]\n", + " },\n", + " \"weight_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " 64,\n", + " 10\n", + " ]\n", + " },\n", + " \"bias_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": 10\n", + " },\n", + " \"multiplier\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"mul\"\n", + " },\n", + " \"accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"fused_accumulator\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"op_type\": \"add\"\n", + " },\n", + " \"operation_count\": 640\n", + " },\n", + " \"output_softmax\": {\n", + " \"layer_type\": \"Activation\",\n", + " \"input_quantizer_list\": [\n", + " {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16\n", + " }\n", + " ],\n", + " \"output_quantizer\": {\n", + " \"quantizer_type\": \"floating_point\",\n", + " \"bits\": 16,\n", + " \"shape\": [\n", + " -1,\n", + " 10\n", + " ]\n", + " },\n", + " \"operation_count\": 10\n", + " }\n", + "}\n", + "{'bn_conv_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 31680.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'bn_conv_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 5948.8,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'bn_conv_2': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 844.8,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'bn_dense_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 92.4,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'bn_dense_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 140.8,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'conv_0': {'energy': {'inputs': 2921.31,\n", + " 'op_cost': 583200.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 586121.31},\n", + " 'conv_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 584064.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 584064.0},\n", + " 'conv_2': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 82944.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 82944.0},\n", + " 'conv_act_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'conv_act_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'conv_act_2': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'dense_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 6048.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 6048.0},\n", + " 'dense_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 4032.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 4032.0},\n", + " 'dense_act_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'dense_act_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'flatten': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'output_dense': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 960.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 960.0},\n", + " 'output_softmax': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 11.41,\n", + " 'parameters': 0.0},\n", + " 'total': 11.41},\n", + " 'pool_0': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'pool_1': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0},\n", + " 'pool_2': {'energy': {'inputs': 0.0,\n", + " 'op_cost': 0.0,\n", + " 'outputs': 0.0,\n", + " 'parameters': 0.0},\n", + " 'total': 0.0}}\n", + "\n", + "Total energy: 1.264180 uJ\n" + ] + } + ], "source": [ "from qkeras import print_qstats\n", "\n", @@ -1124,7 +3303,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 50, "metadata": {}, "outputs": [], "source": [ @@ -1215,9 +3394,120 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 51, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Trial 2 Complete [00h 00m 01s]\n", + "\n", + "Best val_score So Far: None\n", + "Total elapsed time: 00h 00m 01s\n", + "\n", + "Search: Running Trial #3\n", + "\n", + "Value |Best Value So Far |Hyperparameter\n", + "quantized_bits(...|quantized_bits(...|conv_0_kernel_quantizer\n", + "quantized_bits(...|quantized_bits(...|conv_1_kernel_quantizer\n", + "quantized_bits(...|quantized_bits(...|conv_2_kernel_quantizer\n", + "quantized_bits(...|quantized_bits(...|dense_0_kernel_quantizer\n", + "quantized_bits(...|quantized_bits(...|dense_1_kernel_quantizer\n", + "quantized_bits(...|quantized_bits(...|output_dense_kernel_quantizer\n", + "0.5 |1 |network_filters_conv_0\n", + "quantized_relu(...|quantized_relu(...|conv_act_0_activation_quantizer\n", + "1.5 |1 |network_filters_conv_1\n", + "quantized_relu(...|quantized_relu(...|conv_act_1_activation_quantizer\n", + "1.5 |2 |network_filters_conv_2\n", + "quantized_relu(...|quantized_relu(...|conv_act_2_activation_quantizer\n", + "0.75 |2 |network_filters_dense_0\n", + "quantized_relu(...|quantized_relu(...|dense_act_0_activation_quantizer\n", + "0.75 |2 |network_filters_dense_1\n", + "quantized_relu(...|quantized_relu(...|dense_act_1_activation_quantizer\n", + "quantized_bits(...|quantized_bits(...|output_dense_bias_quantizer\n", + "\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "Traceback (most recent call last):\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n", + " self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n", + " results = self.run_trial(trial, *fit_args, **fit_kwargs)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n", + " obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n", + " model = self._try_build(hp)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n", + " model = self._build_hypermodel(hp)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n", + " model = self.hypermodel.build(hp)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n", + " q_model, _ = self.quantize_model(hp)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n", + " q_model = model_quantize(\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n", + " qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n", + " qmodel = model_from_json(json_string, custom_objects=custom_objects)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n", + " return deserialize_from_json(json_string, custom_objects=custom_objects)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n", + " return deserialize(config, custom_objects)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n", + " return serialization_lib.deserialize_keras_object(\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n", + " return deserialize_keras_object(\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n", + " instance = cls.from_config(inner_config)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n", + " inputs, outputs, layers = functional.reconstruct_from_config(\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n", + " if process_node(layer, node_data):\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n", + " output_tensors = layer(input_tensors, **kwargs)\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n", + " raise e.with_traceback(filtered_tb) from None\n", + " File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n", + " outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\n", + "ValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n", + "\n", + "in user code:\n", + "\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n", + " outputs = tf.keras.backend.conv2d(\n", + " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n", + " x = tf.compat.v1.nn.convolution(\n", + "\n", + " ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n", + "\n", + "\n", + "Call arguments received by layer \"conv_1\" (type QConv2D):\n", + " • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n" + ] + }, + { + "ename": "RuntimeError", + "evalue": "Number of consecutive failures exceeded the limit of 3.\nTraceback (most recent call last):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n results = self.run_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n model = self._try_build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n model = self._build_hypermodel(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n model = self.hypermodel.build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n q_model, _ = self.quantize_model(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n q_model = model_quantize(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n qmodel = model_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n return deserialize_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n return deserialize(config, custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n return serialization_lib.deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n return deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n instance = cls.from_config(inner_config)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n inputs, outputs, layers = functional.reconstruct_from_config(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n if process_node(layer, node_data):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n output_tensors = layer(input_tensors, **kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n raise e.with_traceback(filtered_tb) from None\n File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\nValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n\nin user code:\n\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n outputs = tf.keras.backend.conv2d(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n x = tf.compat.v1.nn.convolution(\n\n ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n\n\nCall arguments received by layer \"conv_1\" (type QConv2D):\n • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mRuntimeError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[51], line 4\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;28;01mfrom\u001b[39;00m \u001b[38;5;21;01mqkeras\u001b[39;00m\u001b[38;5;21;01m.\u001b[39;00m\u001b[38;5;21;01mautoqkeras\u001b[39;00m \u001b[38;5;28;01mimport\u001b[39;00m AutoQKeras\n\u001b[1;32m 3\u001b[0m autoqk \u001b[38;5;241m=\u001b[39m AutoQKeras(baseline_model, output_dir\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mautoq_cnn\u001b[39m\u001b[38;5;124m\"\u001b[39m, metrics\u001b[38;5;241m=\u001b[39m[\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124macc\u001b[39m\u001b[38;5;124m\"\u001b[39m], custom_objects\u001b[38;5;241m=\u001b[39m{}, \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mrun_config)\n\u001b[0;32m----> 4\u001b[0m \u001b[43mautoqk\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mfit\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrain_data\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mvalidation_data\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mval_data\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mepochs\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;241;43m15\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 6\u001b[0m aqmodel \u001b[38;5;241m=\u001b[39m autoqk\u001b[38;5;241m.\u001b[39mget_best_model()\n\u001b[1;32m 7\u001b[0m print_qmodel_summary(aqmodel)\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py:967\u001b[0m, in \u001b[0;36mAutoQKeras.fit\u001b[0;34m(self, *fit_args, **fit_kwargs)\u001b[0m\n\u001b[1;32m 961\u001b[0m callbacks \u001b[38;5;241m=\u001b[39m callbacks \u001b[38;5;241m+\u001b[39m [\n\u001b[1;32m 962\u001b[0m tf\u001b[38;5;241m.\u001b[39mkeras\u001b[38;5;241m.\u001b[39mcallbacks\u001b[38;5;241m.\u001b[39mEarlyStopping(\n\u001b[1;32m 963\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mval_loss\u001b[39m\u001b[38;5;124m\"\u001b[39m, patience\u001b[38;5;241m=\u001b[39m\u001b[38;5;28mmin\u001b[39m(\u001b[38;5;241m20\u001b[39m, epochs\u001b[38;5;241m/\u001b[39m\u001b[38;5;241m/\u001b[39m\u001b[38;5;241m5\u001b[39m))\n\u001b[1;32m 964\u001b[0m ]\n\u001b[1;32m 965\u001b[0m fit_kwargs[\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mcallbacks\u001b[39m\u001b[38;5;124m\"\u001b[39m] \u001b[38;5;241m=\u001b[39m callbacks\n\u001b[0;32m--> 967\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mtuner\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43msearch\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mfit_args\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mfit_kwargs\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py:235\u001b[0m, in \u001b[0;36mBaseTuner.search\u001b[0;34m(self, *fit_args, **fit_kwargs)\u001b[0m\n\u001b[1;32m 233\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mon_trial_begin(trial)\n\u001b[1;32m 234\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_try_run_and_update_trial(trial, \u001b[38;5;241m*\u001b[39mfit_args, \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mfit_kwargs)\n\u001b[0;32m--> 235\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mon_trial_end\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrial\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 236\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mon_search_end()\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py:339\u001b[0m, in \u001b[0;36mBaseTuner.on_trial_end\u001b[0;34m(self, trial)\u001b[0m\n\u001b[1;32m 333\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m \u001b[38;5;21mon_trial_end\u001b[39m(\u001b[38;5;28mself\u001b[39m, trial):\n\u001b[1;32m 334\u001b[0m \u001b[38;5;250m \u001b[39m\u001b[38;5;124;03m\"\"\"Called at the end of a trial.\u001b[39;00m\n\u001b[1;32m 335\u001b[0m \n\u001b[1;32m 336\u001b[0m \u001b[38;5;124;03m Args:\u001b[39;00m\n\u001b[1;32m 337\u001b[0m \u001b[38;5;124;03m trial: A `Trial` instance.\u001b[39;00m\n\u001b[1;32m 338\u001b[0m \u001b[38;5;124;03m \"\"\"\u001b[39;00m\n\u001b[0;32m--> 339\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43moracle\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mend_trial\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrial\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 340\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39msave()\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:108\u001b[0m, in \u001b[0;36msynchronized..wrapped_func\u001b[0;34m(*args, **kwargs)\u001b[0m\n\u001b[1;32m 106\u001b[0m LOCKS[oracle]\u001b[38;5;241m.\u001b[39macquire()\n\u001b[1;32m 107\u001b[0m THREADS[oracle] \u001b[38;5;241m=\u001b[39m thread_name\n\u001b[0;32m--> 108\u001b[0m ret_val \u001b[38;5;241m=\u001b[39m \u001b[43mfunc\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43margs\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 109\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m need_acquire:\n\u001b[1;32m 110\u001b[0m THREADS[oracle] \u001b[38;5;241m=\u001b[39m \u001b[38;5;28;01mNone\u001b[39;00m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:588\u001b[0m, in \u001b[0;36mOracle.end_trial\u001b[0;34m(self, trial)\u001b[0m\n\u001b[1;32m 586\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_retry(trial):\n\u001b[1;32m 587\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mend_order\u001b[38;5;241m.\u001b[39mappend(trial\u001b[38;5;241m.\u001b[39mtrial_id)\n\u001b[0;32m--> 588\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_check_consecutive_failures\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 590\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_save_trial(trial)\n\u001b[1;32m 591\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39msave()\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:545\u001b[0m, in \u001b[0;36mOracle._check_consecutive_failures\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 543\u001b[0m consecutive_failures \u001b[38;5;241m=\u001b[39m \u001b[38;5;241m0\u001b[39m\n\u001b[1;32m 544\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m consecutive_failures \u001b[38;5;241m==\u001b[39m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmax_consecutive_failed_trials:\n\u001b[0;32m--> 545\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mRuntimeError\u001b[39;00m(\n\u001b[1;32m 546\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mNumber of consecutive failures exceeded the limit \u001b[39m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 547\u001b[0m \u001b[38;5;124mf\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mof \u001b[39m\u001b[38;5;132;01m{\u001b[39;00m\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmax_consecutive_failed_trials\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m.\u001b[39m\u001b[38;5;130;01m\\n\u001b[39;00m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 548\u001b[0m \u001b[38;5;241m+\u001b[39m (trial\u001b[38;5;241m.\u001b[39mmessage \u001b[38;5;129;01mor\u001b[39;00m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[1;32m 549\u001b[0m )\n", + "\u001b[0;31mRuntimeError\u001b[0m: Number of consecutive failures exceeded the limit of 3.\nTraceback (most recent call last):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n results = self.run_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n model = self._try_build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n model = self._build_hypermodel(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n model = self.hypermodel.build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n q_model, _ = self.quantize_model(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n q_model = model_quantize(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n qmodel = model_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n return deserialize_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n return deserialize(config, custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n return serialization_lib.deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n return deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n instance = cls.from_config(inner_config)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n inputs, outputs, layers = functional.reconstruct_from_config(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n if process_node(layer, node_data):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n output_tensors = layer(input_tensors, **kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n raise e.with_traceback(filtered_tb) from None\n File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\nValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n\nin user code:\n\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n outputs = tf.keras.backend.conv2d(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n x = tf.compat.v1.nn.convolution(\n\n ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n\n\nCall arguments received by layer \"conv_1\" (type QConv2D):\n • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n" + ] + } + ], "source": [ "from qkeras.autoqkeras import AutoQKeras\n", "\n", @@ -1241,9 +3531,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 34, "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'aqmodel' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[34], line 2\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;66;03m# This model has some remnants from the optimization procedure attached to it, so let's define a new one\u001b[39;00m\n\u001b[0;32m----> 2\u001b[0m \u001b[43maqmodel\u001b[49m\u001b[38;5;241m.\u001b[39msave_weights(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mautoqkeras_cnn_weights.h5\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[1;32m 4\u001b[0m layers \u001b[38;5;241m=\u001b[39m [l \u001b[38;5;28;01mfor\u001b[39;00m l \u001b[38;5;129;01min\u001b[39;00m aqmodel\u001b[38;5;241m.\u001b[39mlayers]\n\u001b[1;32m 5\u001b[0m x \u001b[38;5;241m=\u001b[39m layers[\u001b[38;5;241m0\u001b[39m]\u001b[38;5;241m.\u001b[39moutput\n", + "\u001b[0;31mNameError\u001b[0m: name 'aqmodel' is not defined" + ] + } + ], "source": [ "# This model has some remnants from the optimization procedure attached to it, so let's define a new one\n", "aqmodel.save_weights(\"autoqkeras_cnn_weights.h5\")\n", @@ -1358,7 +3660,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.16" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part7a_bitstream.ipynb b/part7a_bitstream.ipynb index 718b07a1..3e2dd7d2 100644 --- a/part7a_bitstream.ipynb +++ b/part7a_bitstream.ipynb @@ -12,12 +12,28 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "id": "a62a80f2", "metadata": { "tags": [] }, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 13:23:05.486775: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-19 13:23:05.489335: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 13:23:05.523412: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-19 13:23:05.523430: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-19 13:23:05.523450: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-19 13:23:05.529326: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-19 13:23:05.529739: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-19 13:23:06.280839: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "from tensorflow.keras.models import load_model\n", "from qkeras.utils import _add_supported_quantized_objects\n", @@ -26,7 +42,7 @@ "_add_supported_quantized_objects(co)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -40,12 +56,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "id": "800575f6", "metadata": { "tags": [] }, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-19 13:23:11.431733: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", + "Skipping registering GPU devices...\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:No training configuration found in the save file, so the model was *not* compiled. Compile it manually.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:No training configuration found in the save file, so the model was *not* compiled. Compile it manually.\n" + ] + } + ], "source": [ "model = load_model('model_3/KERAS_check_best_model.h5', custom_objects=co)" ] @@ -64,17 +103,173 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "id": "53a76ca8", "metadata": { "tags": [] }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " fc1_input\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " fc1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 64\n", + " fc1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu1\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 64\n", + " fc2_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu2\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 64\n", + " fc3_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu3\n", + " Trace: False\n", + " Precision\n", + " result: ufixed<6,0,RND_CONV,SAT>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<6,1>\n", + " bias: fixed<6,1>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 64\n", + " output_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " softmax\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n", + " exp_table_t: ap_fixed<18,8>\n", + " inv_table_t: ap_fixed<18,4>\n", + "-----------------------------------\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "WARNING: You set a Part that does not correspond to the Board you specified. The correct Part is now set.\n", + "Writing HLS project\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", + " saving_api.save_model(\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n" + ] + } + ], "source": [ "import hls4ml\n", "import plotting\n", "\n", - "config = hls4ml.utils.config_from_keras_model(model, granularity='name')\n", + "config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis')\n", "config['LayerName']['softmax']['exp_table_t'] = 'ap_fixed<18,8>'\n", "config['LayerName']['softmax']['inv_table_t'] = 'ap_fixed<18,4>'\n", "for layer in ['fc1', 'fc2', 'fc3', 'output']:\n", @@ -101,12 +296,30 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "id": "16dbd354", "metadata": { "tags": [] }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Part: xcvu13p-flga2577-2-e\n", + "ClockPeriod: 5\n", + "IOType: io_parallel\n", + "HLSConfig\n", + "AcceleratorConfig\n", + " Board: pynq-z2\n", + " Interface: axi_stream\n", + " Driver: python\n", + " Precision\n", + " Input: float\n", + " Output: float\n" + ] + } + ], "source": [ "plotting.print_dict(hls4ml.backends.get_backend('VivadoAccelerator').create_initial_config())" ] @@ -122,7 +335,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "id": "267ecbfc", "metadata": { "tags": [] @@ -159,10 +372,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "id": "555b1243", "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "Exception", + "evalue": "Vivado HLS installation not found. Make sure \"vivado_hls\" is on PATH.", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mException\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[8], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mhls_model\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\u001b[43mcsim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mFalse\u001b[39;49;00m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mexport\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mTrue\u001b[39;49;00m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbitfile\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mTrue\u001b[39;49;00m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/model/graph.py:871\u001b[0m, in \u001b[0;36mModelGraph.build\u001b[0;34m(self, **kwargs)\u001b[0m\n\u001b[1;32m 867\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m os\u001b[38;5;241m.\u001b[39mpath\u001b[38;5;241m.\u001b[39mexists(\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mconfig\u001b[38;5;241m.\u001b[39mget_output_dir()):\n\u001b[1;32m 868\u001b[0m \u001b[38;5;66;03m# Assume the project wasn't written before\u001b[39;00m\n\u001b[1;32m 869\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mwrite()\n\u001b[0;32m--> 871\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconfig\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/backends/vivado_accelerator/vivado_accelerator_backend.py:28\u001b[0m, in \u001b[0;36mVivadoAcceleratorBackend.build\u001b[0;34m(self, model, reset, csim, synth, cosim, validation, export, vsynth, fifo_opt, bitfile)\u001b[0m\n\u001b[1;32m 14\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m \u001b[38;5;21mbuild\u001b[39m(\n\u001b[1;32m 15\u001b[0m \u001b[38;5;28mself\u001b[39m,\n\u001b[1;32m 16\u001b[0m model,\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 26\u001b[0m ):\n\u001b[1;32m 27\u001b[0m \u001b[38;5;66;03m# run the VivadoBackend build\u001b[39;00m\n\u001b[0;32m---> 28\u001b[0m \u001b[38;5;28;43msuper\u001b[39;49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\n\u001b[1;32m 29\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 30\u001b[0m \u001b[43m \u001b[49m\u001b[43mreset\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mreset\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 31\u001b[0m \u001b[43m \u001b[49m\u001b[43mcsim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcsim\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 32\u001b[0m \u001b[43m \u001b[49m\u001b[43msynth\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43msynth\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 33\u001b[0m \u001b[43m \u001b[49m\u001b[43mcosim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcosim\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 34\u001b[0m \u001b[43m \u001b[49m\u001b[43mvalidation\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mvalidation\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 35\u001b[0m \u001b[43m \u001b[49m\u001b[43mexport\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mexport\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 36\u001b[0m \u001b[43m \u001b[49m\u001b[43mvsynth\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mvsynth\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 37\u001b[0m \u001b[43m \u001b[49m\u001b[43mfifo_opt\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mfifo_opt\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 38\u001b[0m \u001b[43m \u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 39\u001b[0m \u001b[38;5;66;03m# Get Config to view Board and Platform\u001b[39;00m\n\u001b[1;32m 40\u001b[0m \u001b[38;5;28;01mfrom\u001b[39;00m \u001b[38;5;21;01mhls4ml\u001b[39;00m\u001b[38;5;21;01m.\u001b[39;00m\u001b[38;5;21;01mbackends\u001b[39;00m \u001b[38;5;28;01mimport\u001b[39;00m VivadoAcceleratorConfig\n", + "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/backends/vivado/vivado_backend.py:203\u001b[0m, in \u001b[0;36mVivadoBackend.build\u001b[0;34m(self, model, reset, csim, synth, cosim, validation, export, vsynth, fifo_opt)\u001b[0m\n\u001b[1;32m 201\u001b[0m found \u001b[38;5;241m=\u001b[39m os\u001b[38;5;241m.\u001b[39msystem(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mcommand -v vivado_hls > /dev/null\u001b[39m\u001b[38;5;124m'\u001b[39m)\n\u001b[1;32m 202\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m found \u001b[38;5;241m!=\u001b[39m \u001b[38;5;241m0\u001b[39m:\n\u001b[0;32m--> 203\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mException\u001b[39;00m(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVivado HLS installation not found. Make sure \u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mvivado_hls\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m is on PATH.\u001b[39m\u001b[38;5;124m'\u001b[39m)\n\u001b[1;32m 205\u001b[0m curr_dir \u001b[38;5;241m=\u001b[39m os\u001b[38;5;241m.\u001b[39mgetcwd()\n\u001b[1;32m 206\u001b[0m os\u001b[38;5;241m.\u001b[39mchdir(model\u001b[38;5;241m.\u001b[39mconfig\u001b[38;5;241m.\u001b[39mget_output_dir())\n", + "\u001b[0;31mException\u001b[0m: Vivado HLS installation not found. Make sure \"vivado_hls\" is on PATH." + ] + } + ], "source": [ "hls_model.build(csim=False, export=True, bitfile=True)" ] @@ -282,7 +510,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.16" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part7b_deployment.ipynb b/part7b_deployment.ipynb index d26a8112..1665fe04 100644 --- a/part7b_deployment.ipynb +++ b/part7b_deployment.ipynb @@ -112,7 +112,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.16" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part7c_validation.ipynb b/part7c_validation.ipynb index 304b95ef..bf837b99 100644 --- a/part7c_validation.ipynb +++ b/part7c_validation.ipynb @@ -72,7 +72,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.16" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part8_symbolic_regression.ipynb b/part8_symbolic_regression.ipynb index af93c1a3..f85e2f92 100644 --- a/part8_symbolic_regression.ipynb +++ b/part8_symbolic_regression.ipynb @@ -10,10 +10,26 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "id": "ede2226f", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-20 16:18:59.919229: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", + "2024-09-20 16:18:59.921871: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-20 16:18:59.958137: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-20 16:18:59.958159: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-20 16:18:59.958183: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-20 16:18:59.964502: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", + "2024-09-20 16:18:59.964976: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-20 16:19:00.691011: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" + ] + } + ], "source": [ "import numpy as np\n", "import sympy\n", @@ -36,10 +52,30 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "id": "ee6d96bd", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "['zlogz', 'c1_b0_mmdt', 'c1_b1_mmdt', 'c1_b2_mmdt', 'c2_b1_mmdt', 'c2_b2_mmdt', 'd2_b1_mmdt', 'd2_b2_mmdt', 'd2_a1_b1_mmdt', 'd2_a1_b2_mmdt', 'm2_b1_mmdt', 'm2_b2_mmdt', 'n2_b1_mmdt', 'n2_b2_mmdt', 'mass_mmdt', 'multiplicity']\n", + "(830000, 16) (830000,)\n", + "['g' 'w' 't' 'z' 'w' 'w' 't' 'g' 'z' 'g']\n", + "[[ 1. -1. -1. -1. -1.]\n", + " [-1. -1. -1. 1. -1.]\n", + " [-1. -1. 1. -1. -1.]\n", + " [-1. -1. -1. -1. 1.]\n", + " [-1. -1. -1. 1. -1.]\n", + " [-1. -1. -1. 1. -1.]\n", + " [-1. -1. 1. -1. -1.]\n", + " [ 1. -1. -1. -1. -1.]\n", + " [-1. -1. -1. -1. 1.]\n", + " [ 1. -1. -1. -1. -1.]]\n" + ] + } + ], "source": [ "data = fetch_openml('hls4ml_lhc_jets_hlf')\n", "X, Y = data['data'].to_numpy(), data['target'].to_numpy()\n", @@ -57,10 +93,21 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "id": "0502aea8", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "X_train.shape: (8000, 16)\n", + "Y_train.shape: (8000, 5)\n", + "X_test.shape: (415000, 16)\n", + "Y_test.shape: (415000, 5)\n" + ] + } + ], "source": [ "X_train, X_test, Y_train, Y_test = train_test_split(X, Y, test_size=0.5, random_state=123)\n", "\n", @@ -309,9 +356,7 @@ "cell_type": "code", "execution_count": null, "id": "46ff4b5e", - "metadata": { - "scrolled": false - }, + "metadata": {}, "outputs": [], "source": [ "# Use hls4ml to convert sympy expressions into HLS model\n", @@ -494,7 +539,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.12" + "version": "3.10.14" } }, "nbformat": 4, From 63cca98bb2f1afd41416234ada257deae9500a6f Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Wed, 25 Sep 2024 08:32:28 -0400 Subject: [PATCH 02/13] clear output from notebooks --- part1_getting_started.ipynb | 1700 +---------------------- part2_advanced_config.ipynb | 1784 +----------------------- part3_compression.ipynb | 1966 +------------------------- part4.1_HG_quantization.ipynb | 33 +- part4_quantization.ipynb | 2435 +-------------------------------- part5_bdt.ipynb | 138 +- 6 files changed, 119 insertions(+), 7937 deletions(-) diff --git a/part1_getting_started.ipynb b/part1_getting_started.ipynb index 4dac331d..b0d7d960 100644 --- a/part1_getting_started.ipynb +++ b/part1_getting_started.ipynb @@ -9,25 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:13:05.837661: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-19 11:13:05.840334: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:13:05.874828: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-19 11:13:05.874849: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-19 11:13:05.874870: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-19 11:13:05.880729: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:13:05.881215: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-19 11:13:06.622714: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -55,7 +39,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -73,47 +57,11 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": { "scrolled": true }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['zlogz', 'c1_b0_mmdt', 'c1_b1_mmdt', 'c1_b2_mmdt', 'c2_b1_mmdt', 'c2_b2_mmdt', 'd2_b1_mmdt', 'd2_b2_mmdt', 'd2_a1_b1_mmdt', 'd2_a1_b2_mmdt', 'm2_b1_mmdt', 'm2_b2_mmdt', 'n2_b1_mmdt', 'n2_b2_mmdt', 'mass_mmdt', 'multiplicity']\n", - "(830000, 16) (830000,)\n", - " zlogz c1_b0_mmdt c1_b1_mmdt c1_b2_mmdt c2_b1_mmdt c2_b2_mmdt \\\n", - "0 -2.935125 0.383155 0.005126 0.000084 0.009070 0.000179 \n", - "1 -1.927335 0.270699 0.001585 0.000011 0.003232 0.000029 \n", - "2 -3.112147 0.458171 0.097914 0.028588 0.124278 0.038487 \n", - "3 -2.666515 0.437068 0.049122 0.007978 0.047477 0.004802 \n", - "4 -2.484843 0.428981 0.041786 0.006110 0.023066 0.001123 \n", - "\n", - " d2_b1_mmdt d2_b2_mmdt d2_a1_b1_mmdt d2_a1_b2_mmdt m2_b1_mmdt \\\n", - "0 1.769445 2.123898 1.769445 0.308185 0.135687 \n", - "1 2.038834 2.563099 2.038834 0.211886 0.063729 \n", - "2 1.269254 1.346238 1.269254 0.246488 0.115636 \n", - "3 0.966505 0.601864 0.966505 0.160756 0.082196 \n", - "4 0.552002 0.183821 0.552002 0.084338 0.048006 \n", - "\n", - " m2_b2_mmdt n2_b1_mmdt n2_b2_mmdt mass_mmdt multiplicity \n", - "0 0.083278 0.412136 0.299058 8.926882 75.0 \n", - "1 0.036310 0.310217 0.226661 3.886512 31.0 \n", - "2 0.079094 0.357559 0.289220 162.144669 61.0 \n", - "3 0.033311 0.238871 0.094516 91.258934 39.0 \n", - "4 0.014450 0.141906 0.036665 79.725777 35.0 \n", - "0 g\n", - "1 w\n", - "2 t\n", - "3 z\n", - "4 w\n", - "Name: class, dtype: category\n", - "Categories (5, object): ['g', 'q', 't', 'w', 'z']\n" - ] - } - ], + "outputs": [], "source": [ "print(data['feature_names'])\n", "print(X.shape, y.shape)\n", @@ -132,21 +80,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[[1. 0. 0. 0. 0.]\n", - " [0. 0. 0. 1. 0.]\n", - " [0. 0. 1. 0. 0.]\n", - " [0. 0. 0. 0. 1.]\n", - " [0. 0. 0. 1. 0.]]\n" - ] - } - ], + "outputs": [], "source": [ "le = LabelEncoder()\n", "y = le.fit_transform(y)\n", @@ -157,7 +93,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -168,7 +104,7 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -190,7 +126,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -203,18 +139,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:15:52.863011: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" - ] - } - ], + "outputs": [], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -240,566 +167,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n", - " 1/487 [..............................] - ETA: 6:19 - loss: 1.7504 - accuracy: 0.1377WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0018s vs `on_train_batch_end` time: 0.0030s). Check your callbacks.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0018s vs `on_train_batch_end` time: 0.0030s). Check your callbacks.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "477/487 [============================>.] - ETA: 0s - loss: 0.9472 - accuracy: 0.6851\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.81917, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.81917, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 1: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 1: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.9445 - accuracy: 0.6862 - val_loss: 0.8192 - val_accuracy: 0.7359 - lr: 0.0010\n", - "Epoch 2/30\n", - " 49/487 [==>...........................] - ETA: 0s - loss: 0.8095 - accuracy: 0.7369" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "480/487 [============================>.] - ETA: 0s - loss: 0.7926 - accuracy: 0.7429\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 2: val_loss improved from 0.81917 to 0.78046, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 2: val_loss improved from 0.81917 to 0.78046, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 2: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 2: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7923 - accuracy: 0.7430 - val_loss: 0.7805 - val_accuracy: 0.7461 - lr: 0.0010\n", - "Epoch 3/30\n", - "473/487 [============================>.] - ETA: 0s - loss: 0.7657 - accuracy: 0.7498\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 3: val_loss improved from 0.78046 to 0.76263, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 3: val_loss improved from 0.78046 to 0.76263, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 3: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 3: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7655 - accuracy: 0.7498 - val_loss: 0.7626 - val_accuracy: 0.7499 - lr: 0.0010\n", - "Epoch 4/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.7486 - accuracy: 0.7546\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 4: val_loss improved from 0.76263 to 0.74627, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 4: val_loss improved from 0.76263 to 0.74627, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 4: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 4: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7486 - accuracy: 0.7545 - val_loss: 0.7463 - val_accuracy: 0.7558 - lr: 0.0010\n", - "Epoch 5/30\n", - "465/487 [===========================>..] - ETA: 0s - loss: 0.7381 - accuracy: 0.7570\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 5: val_loss improved from 0.74627 to 0.73970, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 5: val_loss improved from 0.74627 to 0.73970, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 5: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 5: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7377 - accuracy: 0.7571 - val_loss: 0.7397 - val_accuracy: 0.7572 - lr: 0.0010\n", - "Epoch 6/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.7305 - accuracy: 0.7589\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 6: val_loss improved from 0.73970 to 0.73316, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 6: val_loss improved from 0.73970 to 0.73316, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 6: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 6: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7306 - accuracy: 0.7589 - val_loss: 0.7332 - val_accuracy: 0.7585 - lr: 0.0010\n", - "Epoch 7/30\n", - "485/487 [============================>.] - ETA: 0s - loss: 0.7250 - accuracy: 0.7603\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 7: val_loss improved from 0.73316 to 0.72716, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 7: val_loss improved from 0.73316 to 0.72716, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 7: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 7: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7250 - accuracy: 0.7603 - val_loss: 0.7272 - val_accuracy: 0.7599 - lr: 0.0010\n", - "Epoch 8/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.7202 - accuracy: 0.7615\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 8: val_loss improved from 0.72716 to 0.72391, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 8: val_loss improved from 0.72716 to 0.72391, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 8: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 8: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7202 - accuracy: 0.7615 - val_loss: 0.7239 - val_accuracy: 0.7603 - lr: 0.0010\n", - "Epoch 9/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.7161 - accuracy: 0.7622\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 9: val_loss improved from 0.72391 to 0.72074, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 9: val_loss improved from 0.72391 to 0.72074, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 9: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 9: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7159 - accuracy: 0.7622 - val_loss: 0.7207 - val_accuracy: 0.7607 - lr: 0.0010\n", - "Epoch 10/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.7126 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 10: val_loss improved from 0.72074 to 0.71820, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 10: val_loss improved from 0.72074 to 0.71820, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 10: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 10: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 10: saving model to model_1/KERAS_check_model_epoch10.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7126 - accuracy: 0.7631 - val_loss: 0.7182 - val_accuracy: 0.7615 - lr: 0.0010\n", - "Epoch 11/30\n", - "466/487 [===========================>..] - ETA: 0s - loss: 0.7101 - accuracy: 0.7633\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 11: val_loss improved from 0.71820 to 0.71512, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 11: val_loss improved from 0.71820 to 0.71512, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 11: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 11: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7097 - accuracy: 0.7635 - val_loss: 0.7151 - val_accuracy: 0.7620 - lr: 0.0010\n", - "Epoch 12/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.7071 - accuracy: 0.7642\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 12: val_loss improved from 0.71512 to 0.71211, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 12: val_loss improved from 0.71512 to 0.71211, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 12: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 12: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7071 - accuracy: 0.7641 - val_loss: 0.7121 - val_accuracy: 0.7633 - lr: 0.0010\n", - "Epoch 13/30\n", - "478/487 [============================>.] - ETA: 0s - loss: 0.7047 - accuracy: 0.7647\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 13: val_loss improved from 0.71211 to 0.70987, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 13: val_loss improved from 0.71211 to 0.70987, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 13: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 13: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7046 - accuracy: 0.7647 - val_loss: 0.7099 - val_accuracy: 0.7631 - lr: 0.0010\n", - "Epoch 14/30\n", - "479/487 [============================>.] - ETA: 0s - loss: 0.7029 - accuracy: 0.7649\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 14: val_loss improved from 0.70987 to 0.70846, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 14: val_loss improved from 0.70987 to 0.70846, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 14: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 14: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7030 - accuracy: 0.7648 - val_loss: 0.7085 - val_accuracy: 0.7635 - lr: 0.0010\n", - "Epoch 15/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.7018 - accuracy: 0.7653\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 15: val_loss improved from 0.70846 to 0.70614, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 15: val_loss improved from 0.70846 to 0.70614, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 15: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 15: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7015 - accuracy: 0.7654 - val_loss: 0.7061 - val_accuracy: 0.7636 - lr: 0.0010\n", - "Epoch 16/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.6999 - accuracy: 0.7654\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 16: val_loss did not improve from 0.70614\n", - "\n", - "Epoch 16: val_loss did not improve from 0.70614\n", - "\n", - "Epoch 16: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 16: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6998 - accuracy: 0.7655 - val_loss: 0.7075 - val_accuracy: 0.7632 - lr: 0.0010\n", - "Epoch 17/30\n", - "468/487 [===========================>..] - ETA: 0s - loss: 0.6985 - accuracy: 0.7658\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 17: val_loss improved from 0.70614 to 0.70368, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 17: val_loss improved from 0.70614 to 0.70368, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 17: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 17: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6988 - accuracy: 0.7656 - val_loss: 0.7037 - val_accuracy: 0.7634 - lr: 0.0010\n", - "Epoch 18/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6968 - accuracy: 0.7657\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 18: val_loss did not improve from 0.70368\n", - "\n", - "Epoch 18: val_loss did not improve from 0.70368\n", - "\n", - "Epoch 18: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 18: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6970 - accuracy: 0.7656 - val_loss: 0.7044 - val_accuracy: 0.7635 - lr: 0.0010\n", - "Epoch 19/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.6969 - accuracy: 0.7659\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 19: val_loss improved from 0.70368 to 0.70234, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 19: val_loss improved from 0.70368 to 0.70234, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 19: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 19: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6964 - accuracy: 0.7660 - val_loss: 0.7023 - val_accuracy: 0.7642 - lr: 0.0010\n", - "Epoch 20/30\n", - "468/487 [===========================>..] - ETA: 0s - loss: 0.6953 - accuracy: 0.7659\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 20: val_loss improved from 0.70234 to 0.70147, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 20: val_loss improved from 0.70234 to 0.70147, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 20: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 20: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 20: saving model to model_1/KERAS_check_model_epoch20.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6952 - accuracy: 0.7659 - val_loss: 0.7015 - val_accuracy: 0.7640 - lr: 0.0010\n", - "Epoch 21/30\n", - "470/487 [===========================>..] - ETA: 0s - loss: 0.6939 - accuracy: 0.7662\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 21: val_loss did not improve from 0.70147\n", - "\n", - "Epoch 21: val_loss did not improve from 0.70147\n", - "\n", - "Epoch 21: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 21: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6940 - accuracy: 0.7662 - val_loss: 0.7021 - val_accuracy: 0.7634 - lr: 0.0010\n", - "Epoch 22/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.6936 - accuracy: 0.7660\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 22: val_loss improved from 0.70147 to 0.69795, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 22: val_loss improved from 0.70147 to 0.69795, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 22: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 22: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6936 - accuracy: 0.7660 - val_loss: 0.6980 - val_accuracy: 0.7647 - lr: 0.0010\n", - "Epoch 23/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7665\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 23: val_loss improved from 0.69795 to 0.69689, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 23: val_loss improved from 0.69795 to 0.69689, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 23: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 23: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6928 - accuracy: 0.7666 - val_loss: 0.6969 - val_accuracy: 0.7650 - lr: 0.0010\n", - "Epoch 24/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.6920 - accuracy: 0.7665\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 24: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 24: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 24: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 24: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6919 - accuracy: 0.7665 - val_loss: 0.6981 - val_accuracy: 0.7645 - lr: 0.0010\n", - "Epoch 25/30\n", - "469/487 [===========================>..] - ETA: 0s - loss: 0.6909 - accuracy: 0.7664\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 25: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 25: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 25: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 25: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6909 - accuracy: 0.7664 - val_loss: 0.6989 - val_accuracy: 0.7639 - lr: 0.0010\n", - "Epoch 26/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.6908 - accuracy: 0.7666\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 26: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 26: val_loss did not improve from 0.69689\n", - "\n", - "Epoch 26: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 26: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6909 - accuracy: 0.7666 - val_loss: 0.6970 - val_accuracy: 0.7642 - lr: 0.0010\n", - "Epoch 27/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6897 - accuracy: 0.7669\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 27: val_loss improved from 0.69689 to 0.69672, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 27: val_loss improved from 0.69689 to 0.69672, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 27: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 27: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6894 - accuracy: 0.7671 - val_loss: 0.6967 - val_accuracy: 0.7648 - lr: 0.0010\n", - "Epoch 28/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.6888 - accuracy: 0.7670\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 28: val_loss improved from 0.69672 to 0.69474, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 28: val_loss improved from 0.69672 to 0.69474, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 28: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 28: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6889 - accuracy: 0.7670 - val_loss: 0.6947 - val_accuracy: 0.7647 - lr: 0.0010\n", - "Epoch 29/30\n", - "479/487 [============================>.] - ETA: 0s - loss: 0.6885 - accuracy: 0.7672\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 29: val_loss did not improve from 0.69474\n", - "\n", - "Epoch 29: val_loss did not improve from 0.69474\n", - "\n", - "Epoch 29: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 29: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6885 - accuracy: 0.7671 - val_loss: 0.6958 - val_accuracy: 0.7642 - lr: 0.0010\n", - "Epoch 30/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.6882 - accuracy: 0.7671\n", - "***callbacks***\n", - "saving losses to model_1/losses.log\n", - "\n", - "Epoch 30: val_loss improved from 0.69474 to 0.69367, saving model to model_1/KERAS_check_best_model.h5\n", - "\n", - "Epoch 30: val_loss improved from 0.69474 to 0.69367, saving model to model_1/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 30: saving model to model_1/KERAS_check_model_last.h5\n", - "\n", - "Epoch 30: saving model to model_1/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 30: saving model to model_1/KERAS_check_model_epoch30.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6882 - accuracy: 0.7671 - val_loss: 0.6937 - val_accuracy: 0.7652 - lr: 0.0010\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "if train:\n", @@ -818,7 +188,7 @@ " X_train_val,\n", " y_train_val,\n", " batch_size=1024,\n", - " epochs=30,\n", + " epochs=10,\n", " validation_split=0.25,\n", " shuffle=True,\n", " callbacks=callbacks.callbacks,\n", @@ -839,28 +209,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 4s 812us/step\n", - "Accuracy: 0.7643975903614458\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -889,48 +240,9 @@ }, { "cell_type": "code", - "execution_count": 27, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "Configuration\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "-----------------------------------\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", @@ -953,21 +265,9 @@ }, { "cell_type": "code", - "execution_count": 28, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "execution_count": 28, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "hls4ml.utils.plot_model(hls_model, show_shapes=True, show_precision=True, to_file=None)" ] @@ -982,32 +282,9 @@ }, { "cell_type": "code", - "execution_count": 29, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Writing HLS project\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n" - ] - } - ], + "outputs": [], "source": [ "hls_model.compile()\n", "X_test = np.ascontiguousarray(X_test)\n", @@ -1024,38 +301,9 @@ }, { "cell_type": "code", - "execution_count": 30, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Keras Accuracy: 0.7643975903614458\n", - "hls4ml Accuracy: 0.7639518072289156\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 30, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -1092,502 +340,11 @@ }, { "cell_type": "code", - "execution_count": 31, + "execution_count": null, "metadata": { "scrolled": true }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", - " **** SW Build 5069499 on May 21 2024\n", - " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", - " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", - " **** Start of session at: Thu Sep 19 11:30:15 2024\n", - " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", - " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", - "\n", - "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", - "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:30:16 EDT 2024\n", - "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj'\n", - "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", - "Sourcing Tcl script 'build_prj.tcl'\n", - "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", - "INFO: [HLS 200-10] Opening project '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj'.\n", - "INFO: [HLS 200-1510] Running: set_top myproject \n", - "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", - "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", - "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", - "INFO: [HLS 200-1510] Running: open_solution solution1 \n", - "INFO: [HLS 200-10] Opening solution '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1'.\n", - "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", - "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", - "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", - "INFO: [HLS 200-1505] Using flow_target 'vivado'\n", - "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", - "INFO: [HLS 200-1464] Running solution command: config_compile -name_max_length=80\n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1464] Running solution command: config_schedule -enable_dsp_full_reg=0\n", - "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", - "SYNTAX \n", - " config_array_partition [OPTIONS]\n", - " -auto_partition_threshold *** DEPRECATED***\n", - " -auto_promotion_threshold *** DEPRECATED***\n", - " -complete_threshold \n", - " -throughput_driven \n", - "\n", - "SEE ALSO\n", - " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", - " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", - "\n", - "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", - "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", - "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", - "***** C/RTL SYNTHESIS *****\n", - "INFO: [HLS 200-1510] Running: csynth_design \n", - "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.06 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.07 seconds; current allocated memory: 379.289 MB.\n", - "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", - "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", - "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.26 seconds. CPU system time: 0.91 seconds. Elapsed time: 9.22 seconds; current allocated memory: 383.113 MB.\n", - "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", - "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "WARNING: [HLS 200-1995] There were 248,375 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 74,760 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 74,463 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 73,998 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 26,628 instructions in the design after the 'Array/Struct' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,704 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,656 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,434 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,240 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,240 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,246 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,253 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", - "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", - "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", - "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", - "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", - "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", - "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", - "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", - "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 67.28 seconds. CPU system time: 0.75 seconds. Elapsed time: 70.3 seconds; current allocated memory: 398.832 MB.\n", - "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 398.832 MB.\n", - "INFO: [HLS 200-10] Starting code transformations ...\n", - "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.31 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 412.770 MB.\n", - "INFO: [HLS 200-10] Checking synthesizability ...\n", - "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.4 seconds. CPU system time: 0 seconds. Elapsed time: 0.4 seconds; current allocated memory: 420.406 MB.\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...823 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...1663 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...940 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:21)...148 expression(s) balanced.\n", - "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.24 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.26 seconds; current allocated memory: 470.223 MB.\n", - "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.93 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.97 seconds; current allocated memory: 596.523 MB.\n", - "INFO: [HLS 200-10] Starting hardware synthesis ...\n", - "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 3.03 seconds. CPU system time: 0.05 seconds. Elapsed time: 3.09 seconds; current allocated memory: 630.383 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 3.69 seconds. CPU system time: 0.08 seconds. Elapsed time: 3.78 seconds; current allocated memory: 817.078 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.39 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.42 seconds; current allocated memory: 817.078 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 817.078 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 4.43 seconds. CPU system time: 0.01 seconds. Elapsed time: 4.44 seconds; current allocated memory: 817.078 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 10.89 seconds. CPU system time: 0.15 seconds. Elapsed time: 11.07 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.52 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.54 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 2.24 seconds. CPU system time: 0.01 seconds. Elapsed time: 2.25 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 2.84 seconds. CPU system time: 0.01 seconds. Elapsed time: 2.86 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.31 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.58 seconds. CPU system time: 0 seconds. Elapsed time: 0.58 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.18 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 15, function 'myproject'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.17 seconds. CPU system time: 0 seconds. Elapsed time: 0.17 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.09 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.09 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' is 10746 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter1 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 50 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 24 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 48 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 42 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 63 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 78 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.95 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.97 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.74 seconds. CPU system time: 0.07 seconds. Elapsed time: 1.83 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 24815 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 62 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 23 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 43 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 39 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 88 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 76 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 115 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 63 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.08 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.1 seconds; current allocated memory: 1.129 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 2.72 seconds. CPU system time: 0.09 seconds. Elapsed time: 2.84 seconds; current allocated memory: 1.130 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 13489 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 61 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 35 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 27 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 64 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 29 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.6 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.61 seconds; current allocated memory: 1.135 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.23 seconds. CPU system time: 0.07 seconds. Elapsed time: 1.33 seconds; current allocated memory: 1.200 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 19 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.25 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.27 seconds; current allocated memory: 1.228 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.237 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.39 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 1.252 GB.\n", - "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.86 seconds. CPU system time: 0.04 seconds. Elapsed time: 0.9 seconds; current allocated memory: 1.273 GB.\n", - "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.78 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.83 seconds; current allocated memory: 1.327 GB.\n", - "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", - "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", - "INFO: [HLS 200-789] **** Estimated Fmax: 228.79 MHz\n", - "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:02:06; Allocated memory: 979.324 MB.\n", - "***** C/RTL SYNTHESIS COMPLETED IN 0h2m6s *****\n", - "INFO: [HLS 200-112] Total CPU user time: 124.59 seconds. Total CPU system time: 2.85 seconds. Total elapsed time: 129.79 seconds; peak allocated memory: 1.327 GB.\n", - "Vivado synthesis report not found.\n", - "Cosim report not found.\n", - "Timing report not found.\n" - ] - }, - { - "data": { - "text/plain": [ - "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", - " 'EstimatedClockPeriod': '4.371',\n", - " 'BestLatency': '14',\n", - " 'WorstLatency': '14',\n", - " 'IntervalMin': '1',\n", - " 'IntervalMax': '1',\n", - " 'BRAM_18K': '8',\n", - " 'DSP': '1502',\n", - " 'FF': '9363',\n", - " 'LUT': '101839',\n", - " 'URAM': '0',\n", - " 'AvailableBRAM_18K': '5376',\n", - " 'AvailableDSP': '12288',\n", - " 'AvailableFF': '3456000',\n", - " 'AvailableLUT': '1728000',\n", - " 'AvailableURAM': '1280'}}" - ] - }, - "execution_count": 31, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "hls_model.build(csim=False)" ] @@ -1602,408 +359,9 @@ }, { "cell_type": "code", - "execution_count": 32, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj//myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:32:21 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 14| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 1502| 5936| 101789| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3427| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 1502| 9363| 101839| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 1502| 5936| 101789| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 14| 7| 8|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", - " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", - " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", - " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", - " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", - " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", - " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3427| 0| 3427| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj/')" ] diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index 2b45fbf0..5df07dc0 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -9,25 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:30:57.783322: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-19 11:30:57.786066: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:30:57.821272: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-19 11:30:57.821308: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-19 11:30:57.821330: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-19 11:30:57.827431: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:30:57.827920: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-19 11:30:58.612329: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -53,7 +37,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -74,25 +58,9 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:32:10.058515: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 4s 736us/step\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.models import load_model\n", "\n", @@ -119,132 +87,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " fc1_input\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " fc1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc2_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc3_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " output_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " softmax\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n", - "-----------------------------------\n" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", @@ -268,131 +113,9 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Profiling weights (before optimization)\n", - "Profiling weights (final / after optimization)\n", - "Profiling activations (before optimization)\n", - "32/32 [==============================] - 0s 996us/step\n", - " fc1\n", - " relu1\n", - " fc2\n", - " relu2\n", - " fc3\n", - " relu3\n", - " output\n", - " softmax\n", - "Profiling activations (final / after optimization)\n", - "Recompiling myproject with tracing\n", - "Writing HLS project\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n", - " fc1\n", - " relu1\n", - " fc2\n", - " relu2\n", - " fc3\n", - " relu3\n", - " output\n", - " softmax\n" - ] - }, - { - "data": { - "text/plain": [ - "(
,\n", - "
,\n", - "
,\n", - "
)" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "%matplotlib inline\n", "for layer in config['LayerName'].keys():\n", @@ -415,73 +138,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Profiling weights (before optimization)\n", - "Profiling weights (final / after optimization)\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAHWCAYAAAD6oMSKAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAABiEklEQVR4nO3deVzU1f4/8NfsgOyCArIpoOKC+4aouKSYad60rFsu/Uyv3aRcUiNzoVLTLDH95k0rLfV62y9mXsxM1DRNU9ySBBJFwS0XQBCGmfP7wztzHVkHZvjMDK/n48FD5zNnzud9PvP5fHhz5pwzMiGEABERERHZPbnUARARERGRZTCxIyIiInIQTOyIiIiIHAQTOyIiIiIHwcSOiIiIyEEwsSMiIiJyEEzsiIiIiBwEEzsiIiIiB8HEjoiIiMhBMLGjSi1cuBAymaxe9hUbG4vY2Fjj49TUVMhkMnz55Zf1sv8JEyYgNDS0XvZVW4WFhXjuuefg5+cHmUyGadOmVVu+SZMm2Lx5c/0E6AC0Wi2CgoLw/vvvSx0KgP9dB6mpqbV+bX1dQxX55ZdfoFarcf78eeO20NBQPPLIIxbdj7nXhiMKDQ3FhAkTLFqnTCbDwoULLVqnrey3Z8+emD17tlX3IRUmdg3Ehg0bIJPJjD9OTk4ICAjAkCFD8N5776GgoMAi+8nNzcXChQuRlpZmkfosyZZjq4nFixdjw4YNeP7557Fx40aMHTu2yvIrV66Em5sbnnzyyXqK0P6pVCrMmDEDixYtwt27d6UOp97885//RFJSksXrnTt3Lp566imEhIRYvO77mXtt2KsDBw5g4cKFuHXrltSh1Nn27dslSRoN5syZg//7v//D5cuXJYvBagQ1COvXrxcAxOuvvy42btwoPv74Y7F48WIxePBgIZPJREhIiDh+/LjJa7RarSguLjZrP4cPHxYAxPr16816XUlJiSgpKTE+3r17twAgvvjiC7PqqW1spaWl4u7duxbblzX06NFD9O7du0ZlS0tLha+vr1i8eLGVo3I8N2/eFGq1Wnz00UdShyJ0Op0oLi4WOp3O7Neacw0NGzZMhISE1CLCyh07dkwAEAcOHDDZHhISIoYNG2bRfZlzbdizt99+WwAQ586dK/fc3bt3RWlpqUX3V1xcLLRarUXrNHjhhRdEZSmINfdroNPphJ+fn5g3b55V9yMF9tg1MEOHDsUzzzyDZ599FgkJCdixYwd++OEHXL16FSNGjEBxcbGxrFKphJOTk1XjKSoqAgCo1Wqo1Wqr7qsqKpUKGo1Gsv3XxNWrV+Hp6Vmjstu2bcO1a9fwxBNPWDcoO3Dnzh2zynt6emLw4MHYsGGDdQIyg1wuh5OTE+Ry+7tVr1+/HsHBwejZs6fV92XOtVETZWVlKC0ttVh99UGj0UClUlm0TicnJyiVSovWaSv7lcvlGD16ND799FMIIay6r3ondWZJ9cPQY3f48OEKn1+8eLEAINauXWvctmDBgnJ/UX3//feid+/ewsPDQzRq1Ei0bNlSJCQkCCH+10Pw4I+hh6xfv36ibdu24siRI6JPnz7C2dlZvPTSS8bn+vXrZ9yPoa5//etfIiEhQTRt2lS4uLiI4cOHiwsXLpjEFBISIsaPH1+uTffXWV1s48ePL9djUVhYKGbMmCECAwOFWq0WLVu2FG+//bbQ6/Um5QCIF154QXzzzTeibdu2Qq1WizZt2oj//Oc/FR7rB125ckX8v//3/0STJk2ERqMRUVFRYsOGDeWOxYM/Ff3VbjBu3DgRGhpabvv48eNFo0aNxMWLF8Wjjz4qGjVqJHx8fMTMmTNFWVlZvbe/srYBKPd+bN++XcTExAgXFxfh6uoqHn74YXHq1KkK25eZmSmGDh0qXF1dxaOPPmpWe4QQYuXKlUImk4k///yz0tj1er1o3LixmD59unGbTqcTHh4eQi6Xi5s3bxq3v/XWW0KhUIiCggLjtjNnzohRo0YJLy8vodFoRJcuXURycnKFx2f37t0m21evXi2aN28unJycRLdu3cTevXsrvYY+++wz8eabb4pmzZoJjUYjBgwYIDIyMozl+vXrV+Wxf++990SbNm2Es7Oz8PT0FF26dBGbN2+u9LgYBAcHiwkTJpTbbuix27Fjh+jQoYPQaDQiMjJSfPXVV+XK3rx5U7z00kvG9ywsLEy89dZbxh7M6q6N6q4tIYQ4d+6cACDefvttsWLFCtGiRQshl8vFsWPHhBA1e58qY+41tGnTJtGyZUuh0WhE586dxZ49e4xlDPfjytr64H3QcM/ft2+fiI+PFz4+PsLDw0NMnjxZlJSUiJs3b4qxY8cKT09P4enpKWbNmlVhXAsWLDA5TpX9GOzdu1eMHj1aBAUFCbVaLQIDA8W0adNEUVGRscz48eOrrOP+/RocPXpUxMXFCTc3N9GoUSMxYMAA8fPPP5uUMbT5p59+EtOnTxc+Pj7CxcVFjBw5Uly9erXc+5OcnCwAiKNHj1b+Jtqh+k/FySaNHTsWr776Kr7//ntMmjSpwjKnT5/GI488gqioKLz++uvQaDTIzMzE/v37AQCRkZF4/fXXMX/+fEyePBl9+vQBAERHRxvr+PPPPzF06FA8+eSTeOaZZ9C0adMq41q0aBFkMhnmzJmDq1evIikpCYMGDUJaWhqcnZ1r3L6axHY/IQRGjBiB3bt3Y+LEiejYsSN27NiBWbNm4dKlS1ixYoVJ+Z9++glff/01/v73v8PNzQ3vvfceRo0ahQsXLqBx48aVxlVcXIzY2FhkZmZi6tSpaN68Ob744gtMmDABt27dwksvvYTIyEhs3LgR06dPR2BgIGbOnAkA8PX1rbTeAwcOoHPnzhU+p9PpMGTIEPTo0QPLly/HDz/8gHfeeQdhYWF4/vnn67X9hrbd79atW5gxYwaaNGli3LZx40aMHz8eQ4YMwdKlS1FUVIQ1a9YgJiYGx44dM5n4UlZWhiFDhiAmJgbLly+Hi4uL2e3p0qULhBA4cOBApQP9ZTIZevfujb179xq3nThxArdv34ZcLsf+/fsxbNgwAMC+ffvQqVMnuLq6Arh3LfXu3RvNmjXDK6+8gkaNGuHzzz/HyJEj8dVXX+Evf/lLpcdszZo1mDp1Kvr06YPp06cjOzsbI0eOhJeXFwIDA8uVf+uttyCXy/Hyyy/j9u3bWLZsGZ5++mkcOnQIwL1xcLdv38bFixeNx8EQ57p16/Diiy9i9OjReOmll3D37l2cOHEChw4dwl//+tdKY7x06RIuXLhQ6TmYkZGBMWPGYMqUKRg/fjzWr1+Pxx9/HCkpKXjooYcA3OvN79evHy5duoS//e1vCA4OxoEDB5CQkIC8vDwkJSVVeW3U5Nq63/r163H37l1MnjwZGo0G3t7edXqfzD3n9uzZg88++wwvvvgiNBoN3n//fcTFxeGXX35Bu3bt8Nhjj+Hs2bPYsmULVqxYAR8fH2NbqxIfHw8/Pz8kJibi4MGDWLt2LTw9PXHgwAEEBwdj8eLF2L59O95++220a9cO48aNq7AeX1/fcteqVqvF9OnTTT5t+eKLL1BUVITnn38ejRs3xi+//IJVq1bh4sWL+OKLLwAAf/vb35Cbm4udO3eWq7Mip0+fRp8+feDu7o7Zs2dDpVLhgw8+QGxsLPbs2YMePXqUa7OXlxcWLFiA7OxsJCUlYerUqfjss89MynXp0gUAsH//fnTq1KnaOOyGpGkl1ZvqeuyEEMLDw0N06tTJ+PjBHrsVK1YIAOLatWuV1lHVODZDz8A//vGPCp+rqLehWbNmIj8/37j9888/FwDEypUrjdtq0mNXXWwP9tj9+9//FgDEm2++aVJu9OjRQiaTiczMTOM2AEKtVptsO378uAAgVq1aVW5f90tKShIAxKZNm4zbSktLRa9evYSrq6tJ22s6Nkmr1QqZTCZmzpxZYTvx37GW9+vUqZPo0qWL8XF9tf9Ber1ePPLII8LV1VWcPn1aCCFEQUGB8PT0FJMmTTIpe/nyZeHh4WGy3dC+V155xaSsOe0RQojc3FwBQCxdurTKeN9++22hUCiM79N7770nQkJCRPfu3cWcOXOEEPd68Tw9PU169gYOHCjat29vMq5Tr9eL6OhoERERYdz2YI9dSUmJaNy4sejWrZvJGKQNGzYIABVeQ5GRkSbjV1euXCkAiJMnTxq3VTbG7tFHHxVt27at8hhU5IcffhAAxLffflvuuZCQEAHApIfu9u3bwt/f3+T+88Ybb4hGjRqJs2fPmrz+lVdeEQqFwqTnvqJro6bXlqEnyt3dvVyvTk3fp4qYew0BEEeOHDFuO3/+vHBychJ/+ctfjNuqGmNXWY/dkCFDTHrievXqJWQymZgyZYpxW1lZmQgMDDQ5fwxxPdhzdr+///3vQqFQiB9//NG47f6eOYMlS5YImUwmzp8/b9xW1Ri7B/c7cuRIoVarRVZWlnFbbm6ucHNzE3379i3X5kGDBpm0efr06UKhUIhbt26V25darRbPP/98pW20R/Y3cIOsxtXVtcrZsYYxLMnJydDr9bXah0ajwbPPPlvj8uPGjYObm5vx8ejRo+Hv74/t27fXav81tX37digUCrz44osm22fOnAkhBP7zn/+YbB80aBDCwsKMj6OiouDu7o4//vij2v34+fnhqaeeMm5TqVR48cUXUVhYiD179pgd+40bNyCEgJeXV6VlpkyZYvK4T58+JrHWV/sf9MYbb2Dbtm3YsGED2rRpAwDYuXMnbt26haeeegrXr183/igUCvTo0QO7d+8uV4+h57G27TEcu+vXr1cZb58+faDT6XDgwAEA93rm+vTpgz59+mDfvn0AgFOnTuHWrVvGXuIbN27gxx9/xBNPPIGCggJje/78808MGTIEGRkZuHTpUoX7O3LkCP78809MmjTJZAzS008/Xen7/eyzz5r0qBjiqMl74+npiYsXL+Lw4cPVlr3fn3/+CQCVxhQQEGDS2+Xu7o5x48bh2LFjxlmKX3zxBfr06QMvLy+T933QoEHQ6XQmPaUVMffaGjVqlEnvV13eJ8P+zTnnevXqZexBAoDg4GA8+uij2LFjB3Q6XZVtrcrEiRNNlq3q0aMHhBCYOHGicZtCoUDXrl3Nul4//fRTvP/++1i2bBn69+9v3H7/Jyl37tzB9evXER0dDSEEjh07Znb8Op0O33//PUaOHIkWLVoYt/v7++Ovf/0rfvrpJ+Tn55u8ZvLkySZtNlyn9y+7Y2A4vxwJEzsyKiwsNEmiHjRmzBj07t0bzz33HJo2bYonn3wSn3/+uVlJXrNmzcyaJBEREWHyWCaTITw8HNnZ2TWuozbOnz+PgICAcscjMjLS+Pz9goODy9Xh5eWFmzdvVrufiIiIcoPjK9uPOUQlA4KdnJzKfXzzYKyWbn9paSkuX75s8vPgL6uUlBQkJiYiISEBo0aNMm7PyMgAAAwYMAC+vr4mP99//z2uXr1qUo9SqSz3kaS57TEcu+rWcezcuTNcXFyMSZwhsevbty+OHDmCu3fvGp+LiYkBAGRmZkIIgXnz5pVrz4IFCwCgXJvubwcAhIeHl2tzZeswPvjeGJKt6s5N4N6SEK6urujevTsiIiLwwgsvGIde1ERl52B4eHi5Y9uyZUsAMF7bGRkZSElJKXeMBg0aBKDyY2Rg7rXVvHlzk8d1eZ8M9Ztzzj14rwPuHZOioiJcu3atqqZW6cH338PDAwAQFBRUbntNzgkASEtLw5QpU/DUU09hxowZJs9duHABEyZMgLe3N1xdXeHr64t+/foBAG7fvm12/NeuXUNRURFatWpV7rnIyEjo9Xrk5OSYbDfnnBdC1Nt6rfWFY+wIAHDx4kXcvn273C+M+zk7O2Pv3r3YvXs3vvvuO6SkpOCzzz7DgAED8P3330OhUFS7H3PGxdVUZRelTqerUUyWUNl+KvvFZk3e3t6QyWSV3qStcUyqa/+BAwdM/qoHgHPnzhmTkXPnzuHpp5/GQw89hDfffNOknOEPh40bN8LPz6/cPh6cPafRaOo8i9Rw7AzjmCqjUqnQo0cP7N27F5mZmbh8+TL69OmDpk2bQqvV4tChQ9i3bx9at25tTKYN7Xn55ZcxZMiQCuut6jo0V13OzcjISPz+++/Ytm0bUlJS8NVXX+H999/H/PnzkZiYWOnrDOMqa5ooVESv1+Ohhx6qdBFZQyJoKQ/em+r7fbKWyt7/irbX5Jy4efMmRo0ahZYtW+LDDz80eU6n0+Ghhx7CjRs3MGfOHLRu3RqNGjXCpUuXMGHChFp/0mMuc875W7duVXud2xsmdgQAxgGsld3ADORyOQYOHIiBAwfi3XffxeLFizF37lzs3r0bgwYNsvhfPobeGgMhBDIzMxEVFWXc5uXlVeGCnefPnzfpujcntpCQEPzwww8oKCgw+Ys7PT3d+LwlhISE4MSJE9Dr9SbJSF32o1QqERYWhnPnztUpLku2v0OHDti5c6fJNkOSVlxcjMceewyenp7YsmVLuaTM8BFvkyZNjL015jK3PYZjZ+hdqUqfPn2wdOlS/PDDD/Dx8UHr1q0hk8nQtm1b7Nu3D/v27TOZgGE4J1UqldntMcSZmZlpkiiXlZUhOzvb5LowR1XXRqNGjTBmzBiMGTMGpaWleOyxx7Bo0SIkJCRUuhxS69atAaDSc9DQG3b/fs+ePQsAxmQ/LCwMhYWFdXrP63Jt1eV9MtRvzjn34L0OuHdMXFxcjH8USN2zpNfr8fTTT+PWrVv44Ycf4OLiYvL8yZMncfbsWXzyyScmkzAevPaBmrfF19cXLi4u+P3338s9l56eDrlcXq73saYuXbqE0tLSGl3n9oQfxRJ+/PFHvPHGG2jevDmefvrpSsvduHGj3LaOHTsCAEpKSgDc+yUAwGIro3/66acm4/6+/PJL5OXlYejQocZtYWFhOHjwoMm6U9u2bSvXPW9ObA8//DB0Oh1Wr15tsn3FihWQyWQm+6+Lhx9+GJcvXzaZrVVWVoZVq1bB1dXV+BGGuXr16oUjR47UKS5Ltt/LywuDBg0y+TEkBVOmTMHZs2fxzTffVDgma8iQIXB3d8fixYuh1WrLPV+Tj6nMbc+vv/4KmUyGXr16VVt3nz59UFJSgqSkJMTExBh/YfXp0wcbN25Ebm6ucVwbcC9BjY2NxQcffIC8vDyz2tO1a1c0btwY69atQ1lZmXH75s2b69Q71qhRowo/JjOMlTNQq9Vo06YNhBAVvhcGzZo1Q1BQUKXnYG5uLr755hvj4/z8fHz66afo2LGjMeF/4okn8PPPP2PHjh3lXn/r1i2T9lekrtdWXd4nw/7NOed+/vlnHD161Pg4JycHycnJGDx4sLEHytL3V3MlJiZix44d2LJlS7mProH/9ZTd3zMmhMDKlSvLla1pWxQKBQYPHozk5GSTIThXrlzBP//5T8TExMDd3b0Wrbl3nQOVr45gr9hj18D85z//QXp6OsrKynDlyhX8+OOP2LlzJ0JCQrB169YqFyR+/fXXsXfvXgwbNgwhISG4evUq3n//fQQGBhrHD4WFhcHT0xP/+Mc/4ObmhkaNGqFHjx4V3gRqwtvbGzExMXj22Wdx5coVJCUlITw83GRJlueeew5ffvkl4uLi8MQTTyArKwubNm0yGcxvbmzDhw9H//79MXfuXGRnZ6NDhw74/vvvkZycjGnTppWru7YmT56MDz74ABMmTMCvv/6K0NBQfPnll9i/fz+SkpKqHPNYlUcffRQbN27E2bNna/WRVX21/7vvvsOnn36KUaNG4cSJEzhx4oTxOVdXV4wcORLu7u5Ys2YNxo4di86dO+PJJ5+Er68vLly4gO+++w69e/cu98uzru3ZuXMnevfuXeVSLQa9evWCUqnE77//jsmTJxu39+3bF2vWrAEAk8QOAP7v//4PMTExaN++PSZNmoQWLVrgypUr+Pnnn3Hx4kUcP368wn2p1WosXLgQ8fHxGDBgAJ544glkZ2djw4YNCAsLq3WPTpcuXfDZZ59hxowZ6NatG1xdXTF8+HAMHjwYfn5+6N27N5o2bYozZ85g9erVGDZsWLXn5qOPPopvvvmmwjFMLVu2xMSJE3H48GE0bdoUH3/8Ma5cuYL169cby8yaNQtbt27FI488ggkTJqBLly64c+cOTp48iS+//BLZ2dlVfoRmiWurtu8TYP45165dOwwZMsRkuRMAJh95GyZXzJ07F08++SRUKhWGDx9uTJKs6eTJk3jjjTfQt29fXL16FZs2bTJ5/plnnkHr1q0RFhaGl19+GZcuXYK7uzu++uqrCv/oMLTlxRdfxJAhQ6BQKCr9+sM333wTO3fuRExMDP7+979DqVTigw8+QElJCZYtW1brNu3cuRPBwcGOtdQJwOVOGgrDNHDDj1qtFn5+fuKhhx4SK1euNFlWw+DB5U527dolHn30UREQECDUarUICAgQTz31VLnlCJKTk0WbNm2EUqk0WV7EsEBxRSpb7mTLli0iISFBNGnSRDg7O4thw4aZTJk3eOedd4wLsPbu3VscOXKkXJ1VxVbRAsUFBQVi+vTpIiAgQKhUKhEREVHl4qIPqmwZlgdduXJFPPvss8LHx0eo1WrRvn37CpdkMeermEpKSoSPj4944403TLYbFvB9UEWLUddH+x88L+//efD92L17txgyZIjw8PAQTk5OIiwsTEyYMMFkiYjK2mdOe27duiXUarX48MMPq4z9ft26dRMAxKFDh4zbLl68KACIoKCgCl+TlZUlxo0bJ/z8/IRKpRLNmjUTjzzyiPjyyy9N2owKFig2LKui0WhE9+7dxf79+0WXLl1EXFxcudc++JVihuU97j/HCgsLxV//+lfh6elpcuw/+OAD0bdvX9G4cWOh0WhEWFiYmDVrlrh9+3a1x+To0aPGBXLvd/8CxVFRUUKj0YjWrVtX+NVnBQUFIiEhQYSHhwu1Wi18fHxEdHS0WL58ucnXZ1V2bdTk2rp/geKK1OR9qoy519CmTZtERESE0Gg0olOnTuXedyHuLQPTrFkzIZfLa7RA8YNLXBmu9QeXraro2sF9y45UtZj4/feO3377TQwaNEi4uroKHx8fMWnSJOPyR/cf+7KyMhEfHy98fX2FTCar0QLFQ4YMEa6ursLFxUX079+/3NfVVdbmiq4jnU4n/P39xWuvvVbuGNs7mRCO9l0aRATcWzpk/fr1yMjIqLdJJI4gKSkJy5YtQ1ZWllUm+1iDXq+Hr68vHnvsMaxbt07qcIwGDhyIgICAGi1C25DJZDK88MIL1fY8k+X8+9//xl//+ldkZWXB399f6nAsimPsiBzU9OnTUVhYiH/9619Sh2I3tFot3n33Xbz22ms2m9TdvXu33Oy+Tz/9FDdu3EBsbKw0QVVi8eLF+Oyzz+q0bA+RNSxduhRTp051uKQO4Bg7Iofl6upa7VpfZEqlUuHChQtSh1GlgwcPYvr06Xj88cfRuHFjHD16FB999BHatWuHxx9/XOrwTPTo0cNkUhORrfj555+lDsFqmNgREdmR0NBQBAUF4b333sONGzfg7e2NcePG4a233jJr8W8ickwcY0dERETkIDjGjoiIiMhBMLEjIiIichAcY2cBer0eubm5cHNzk/wrX4iIiMixCCFQUFCAgICAar8Lm4mdBeTm5tb6u+qIiIiIaiInJweBgYFVlmFiZwGGr6bJycmp9XfWEREREVUkPz8fQUFBNfoqPCZ2FmD4+NXd3Z2JHREREVlFTYZ7cfIEERERkYNgjx0RETVYOp0Oer1e6jDITsnlcpv7Lm4mdkRE1CDpdDpcvHgRWq1W6lDITqlUKgQGBtpUcsfEjoiIGiS9Xg+tVgu5XA6lkr8OyTxlZWXQarXQ6/VM7IiIiGyFUqlkYke1UlpaKnUI5XDyBBEREZGDYGJHRERE5CCY2BERERE5CA4qICKiGtlz6BguXb4hdRgWo9OV4faN61AoFZDLbWfwu9Sa+nihe8c2UodBtcTEjoiIqrXn0DHE9uwsdRhUT775bieTOzvFxI6IiKpl6KlTdZoAmaufxNFYhkIm0LSRFlqdDDpR/Vc1mUubfwV/HtqIxj3GQuXe1OL1W4Mh5ivXb0odCtUSEzsiIqoxmasf5J7BUodhEQqZgLNbKeRWSuwMVO5NofEOslr9RPfj5AkiIiIiB8HEjoiIiMhBMLGjChUVFeHo0aMoKiqSOhQiIqJ6V1xcjJMnT6K4uFjqUMzCxI4qlJ6eji5duiA9PV3qUIiIiOpdZmYm4uLikJmZKXUoZrG5xE4IgcmTJ8Pb2xsymQxpaWlSh0RERERkF2wusUtJScGGDRuwbds25OXloV27dlWWX7RoEaKjo+Hi4gJPT89Ky50/fx7Ozs4oLCy0cMREREREtsHmErusrCz4+/sjOjoafn5+UCqrXpGltLQUjz/+OJ5//vkqyyUnJ6N///5wdXW1ZLhERERENsOmErsJEyYgPj4eFy5cgEwmQ2hoKPR6PZYtW4bw8HBoNBoEBwdj0aJFxtckJiZi+vTpaN++fZV1JycnY8SIETh16hTkcjmuXbsGALhx4wbkcjmefPJJY9k333wTMTEx1mkkERERkZXY1ALFK1euRFhYGNauXYvDhw9DoVAgISEB69atw4oVKxATE4O8vDyzB/TfunULP/30EzZu3Ah/f380btwYe/bswejRo7Fv3z7jY4M9e/YgNja20vpKSkpQUlJifJyfn292W22dYRbQmTNnJI6EiGzBuawMAIDQaSWOhKzJ8P5eyP4DJ0+6SxyNtDIy7p3zd+/elTgS89hUYufh4QE3NzcoFAr4+fmhoKAAK1euxOrVqzF+/HgAQFhYmNm9adu3b0dUVBQCAgIAAH379kVqaipGjx6N1NRUPPvss/jwww+Rnp6OsLAwHDhwALNnz660viVLliAxMbH2DbUD2dnZAIBnnnlG2kCIyKaI4j8BhEkdBllJ2Z17Xx23eMErWCxxLLYiJycH3bp1kzqMGrOpxO5BZ86cQUlJCQYOHFinegwfwxr069cPa9euBXCvd27x4sU4e/YsUlNTcePGDWi1WvTu3bvS+hISEjBjxgzj4/z8fAQFOdbXxYSGhgIANm3ahMjISGmDISLJ/Sf1F7w283nInBtLHQpZkbKRNwDg1cS30LdHR2mDkVhGRgbi4+Pt7ve7TSd2zs7Oda6jtLQUKSkpePXVV43bYmNjMW3aNGRkZOC3335DTEwM0tPTkZqaips3b6Jr165wcXGptE6NRgONRlPn2GyZ4dhHRkaic+fOEkdDRFJLz7n3pfAyhUriSMiaDO9vcGiLaseuNxROTk5Sh2AWm5o88aCIiAg4Oztj165dta4jNTUVXl5e6NChg3Fb+/bt4eXlhTfffBMdO3aEq6srYmNjsWfPHqSmplY5vo6IiIjIVtl0Yufk5IQ5c+Zg9uzZ+PTTT5GVlYWDBw/io48+Mpa5cOEC0tLScOHCBeh0OqSlpSEtLc24Xt3WrVtNPoYFAJlMhr59+2Lz5s3GJC4qKgolJSXYtWsX+vXrV29tJCIiIrIUm07sAGDevHmYOXMm5s+fj8jISIwZMwZXr141Pj9//nx06tQJCxYsQGFhITp16oROnTrhyJEjACpO7IB74+x0Op0xsZPL5ejbty9kMlmV4+uIiIiIbJXNJXbTpk0zzsgE7iVcc+fORXZ2NkpLS3H+/HkkJCQYn9+wYQOEEOV+YmNjcfToUeTn51fYAzdt2jQIIRAXF2fc9u9//xtarZaLGBMREZFdsrnEzpLKysqwatUqqFQc7Guu1q1b49dff0Xr1q2lDoWIiKjehYeHIyUlBeHh4VKHYhabnhVbV927d0f37t2lDsMuubi4cDYsERE1WM7OznY5M9ihe+yIiIiIGhImdkREREQOwqE/iiUiIsu4e7cIAKC/nSNxJJajkwkUa7XQ6mTQCZnF69fmXzH51x7YU6xUMSZ2RERUrWu55wEAZSc2SxyJZWXXwz7+PLSxHvZiWU19vKQOgWqJiR0REVVr4tgnAQC+ASFwcqr8KxftiU5Xhts3rkOhVEAuV0gdjs1o6uOF7h3bSB0G1RITOyIiqpaPjw/mTJ8qdRgWpdVqcf78eajVaiiV/HVIjoGTJ4iIiIgcBBM7IiIiIgfBxI6IiIjIQXBQARERNWhlZWVSh0B2yFbPGyZ2RETUIMnlcqhUKmi1WpSWlkodDtkhlUoFudy2PvxkYkdERA2SQqFAYGAg9Hq91KGQnZLL5VAobGupHCZ2RETUYCkUCpv7xUxUF7bVf0hEREREtcbEjoiIiMhBMLEjIiIichBM7IiIiIgcBBM7IiIiIgfBxI6IiIjIQXC5EyIiO6TT6bj+GlEDodVqa1yWiR0RkZ3R6XS4ePGiWTd7IrJfBQUFNS7LxI6IyM7o9XpotVrI5XIolbyNEzk6tVpd47K8IxAR2SmlUsnEjqgBMOc65+QJIiIiIgfBxI6IiIjIQTCxIyIiInIQHJxBRERkg46cOIOrf96WOoxymjT2QNeoSKnDoEowsSMiIrIxR06cwaNDB0kdRqWS//MDkzsbxcSOiIjIxhh66oIH/x0arwCL1n33Ri5ydr6PoIf+Didv8+ouuZmLC9+/b5M9iXQPEzsiIiIbpfEKgEuT5lap28nbenWTdDh5goiIiMhBMLEjIiIichBM7IiIGrDi4mKcPHkSxcXFUodCVCmepzXHxI6IqAHLzMxEXFwcMjMzpQ6FqFI8T2vOZhM7IQQmT54Mb29vyGQypKWlWXwfEyZMwMiRIy1eLxEREZEUbDaxS0lJwYYNG7Bt2zbk5eWhXbt2VZZftGgRoqOj4eLiAk9Pz0rLnT9/Hs7OzigsLLRwxERERETSstnELisrC/7+/oiOjoafnx+UyqpXZiktLcXjjz+O559/vspyycnJ6N+/P1xdXS0ZLhEREZHkbDKxmzBhAuLj43HhwgXIZDKEhoZCr9dj2bJlCA8Ph0ajQXBwMBYtWmR8TWJiIqZPn4727dtXWXdycjJGjBhhsi0xMRG+vr5wd3fHlClTUFpaapV2EREREVmTTS5QvHLlSoSFhWHt2rU4fPgwFAoFEhISsG7dOqxYsQIxMTHIy8tDenq6WfXeunULP/30EzZu3GjctmvXLjg5OSE1NRXZ2dl49tln0bhxY5Ok8UElJSUoKSkxPs7Pzze/kURENuDu3bsAgIyMDIkjofudP/cHAEBfZlsdDYZ4zp/7Ayd9GtXbfg3np+F8pcrZZGLn4eEBNzc3KBQK+Pn5oaCgACtXrsTq1asxfvx4AEBYWBhiYmLMqnf79u2IiopCQMD/vkJFrVbj448/houLC9q2bYvXX38ds2bNwhtvvAG5vOIOzSVLliAxMbH2DSQishE5OTkAgPj4eIkjoYqU5l8HAlpJHYZRaf51AMCb82ZJsv+cnBx069ZNkn3bC5tM7B505swZlJSUYODAgXWqp6KPYTt06AAXFxfj4169eqGwsBA5OTkICQmpsJ6EhATMmDHD+Dg/Px9BQUF1io2ISAqGe9eqVasQEREhcTRk8NPhk3hz3iyo3X2kDsWEIZ7X3ngbMd2qHvpkSRkZGYiPj+fv2hqwi8TO2dm5znWUlpYiJSUFr776ap3r0mg00Gg0da6HiEhqTk5OAICIiIhqxyhT/cm5fgcAIFeqJY7ElCGekOYtJDlfDOcrVc4mJ088KCIiAs7Ozti1a1et60hNTYWXlxc6dOhgsv348eMmK1kfPHgQrq6u/KuAiIiI7I5d9Ng5OTlhzpw5mD17NtRqNXr37o1r167h9OnTmDhxIgDgwoULuHHjBi5cuACdTmdc0Dg8PByurq7YunVruY9hgXs9eRMnTsRrr72G7OxsLFiwAFOnTq10fB0RERGRrbKLxA4A5s2bB6VSifnz5yM3Nxf+/v6YMmWK8fn58+fjk08+MT7u1KkTAGD37t2IjY3F1q1b8fHHH5erd+DAgYiIiEDfvn1RUlKCp556CgsXLrR6e4iIiIgsTSaEEFIHYW1Hjx7FgAEDcO3aNahUKovXn5+fDw8PD9y+fRvu7u4Wr5+I6H5arRbnz5+HWq2udvH26hQXFyMzMxPh4eEWGc9MlrF990FMemYUIsa8CZcmzS1ad9HVc8j47LVa1W147bpNX+Hh/j0tGldVGvp5WlBQgNatW9coz7CbHru6KCsrw6pVq6yS1BER2TNnZ2dOmiCbx/O05hpEYte9e3d0795d6jCIiIiIrIozBIiIiIgcRIPosSMiIrInhq/OKr6Wbfm6b+Sa/GuOkpvmv4bqFxM7IiIiG3Mt9zwA4OKPH1ptHzk736/1a5s09rBgJGRJTOyIiIhszON/GQ4A8A0IsblvW2jS2ANdoyKlDoMqwcSOiIjIxnh7e+NvE8dLHQbZIU6eICIiInIQTOyIiIiIHAQTOyIiIiIHwcSOiIiIyEFw8gQRkZ0qKyuTOgQiqgfmXOtM7IiI7IxcLodKpYJWq0VpaanU4RCRlZlznTOxIyKyMwqFAoGBgdDr9VKHQkT1ID8/v8ZlmdgREdkhhUIBhUIhdRhEVA9UKlWNy3LyBBEREZGDYGJHRERE5CCY2BERERE5CCZ2RERERA6CiR0RERGRg+CsWCIiO6TT6bjcCVEDodVqa1yWiR0RkZ3R6XS4ePGiWTd7IrJfBQUFNS7LxI6IyM7o9XpotVrI5XIolbyNEzk6tVpd47K8IxAR2SmlUsnEjqgBMOc65+QJIiIiIgfBxI6IiIjIQTCxIyIiInIQHJxBRGQhB46eQt71m1bfj66sDDevX4VCqYRCwdu4o/L1ckfndq2kDoPsDO8IREQWcODoKfTu0l7qMMjBfLvjRyZ3ZBYmdkREFmDoqQt7Yi6cm4RYdV8yoYOHKIQOCughs+q+DO5cy8GpL95Fu8dnoJFvUL3ssyG7c+0iTn3xDq7dzJc6FLIzTOyIiCzIuUkIGjVradV9yIUOHvpbKIMSeln9DpVu5BsE92bh9bpPIqo5Tp4gIiIichBM7IiIiIgcBBM7O1BUVISjR4+iqKhI6lCIiIjoAcXFxTh58iSKi4ulDoWJnT1IT09Hly5dkJ6eLnUoRERE9IDMzEzExcUhMzNT6lBsL7ETQmDy5Mnw9vaGTCZDWlqa1CERERER2QWbS+xSUlKwYcMGbNu2DXl5eWjXrl2lZbOzszFx4kQ0b94czs7OCAsLw4IFC1BaWlqu7J49exAUxCn6RERE5LhsbrmTrKws+Pv7Izo6utqy6enp0Ov1+OCDDxAeHo5Tp05h0qRJuHPnDpYvX25SNjk5GcOHD7dW2ERERESSs6keuwkTJiA+Ph4XLlyATCZDaGgo9Ho9li1bhvDwcGg0GgQHB2PRokUAgLi4OKxfvx6DBw9GixYtMGLECLz88sv4+uuvy9W9detWjBgxAtu2bYOnpyd0Oh0AIC0tDTKZDK+88oqx7HPPPYdnnnmmfhpNREREZCE21WO3cuVKhIWFYe3atTh8+DAUCgUSEhKwbt06rFixAjExMcjLy6tyEsHt27fh7e1tsu306dO4evUqBgwYgOLiYhQUFODYsWPo2rUr9uzZAx8fH6SmphrL79mzB3PmzKl0HyUlJSgpKTE+zs+37srghlk2Z86csep+iKj2/sj4HQCg15ZUU5KoejrtvSFF589l4aSnk8TRUHUyMjIAAHfv3pU4EhtL7Dw8PODm5gaFQgE/Pz8UFBRg5cqVWL16NcaPHw8ACAsLQ0xMTIWvz8zMxKpVqyr8GHbIkCFQq9VQq9Xo2LEjUlNT0bVrV6SmpmL69OlITExEYWEhbt++jczMTPTr16/SOJcsWYLExETLNbwa2dnZAMBeRCI7UHLzMtxC+Z2xVDd3b10BACQmzJQ4EjJHTk4OunXrJmkMNpXYPejMmTMoKSnBwIEDqy176dIlxMXF4fHHH8ekSZNMnktOTsbUqVONj/v164fU1FTMnDkT+/btw5IlS/D555/jp59+wo0bNxAQEICIiIhK95WQkIAZM2YYH+fn51t1YkZoaCgAYNOmTYiMjLTafoio9nb9fBSzp06CxstP6lDIATh5NgUALFjyDnp1aitxNFSdjIwMxMfH28QkTZtO7JydnWtULjc3F/3790d0dDTWrl1r8lxeXh6OHTuGYcOGGbfFxsbi448/xvHjx6FSqdC6dWvExsYiNTUVN2/erLK3DgA0Gg00Go35Daolw3GIjIxE586d622/RFRz567fAQDIVfV3byDHpVCpAQAhzcPQvj17gO2Fk5P0H5vb1OSJB0VERMDZ2Rm7du2qtMylS5cQGxuLLl26YP369ZDLTZv07bffIjo62mTcXZ8+fVBQUIAVK1YYkzhDYpeamorY2FirtIeIiIjImmy6x87JyQlz5szB7NmzoVar0bt3b1y7dg2nT5/GxIkTjUldSEgIli9fjmvXrhlf6+d37+MQw2zY+3l5eSEqKgqbN2/G6tWrAQB9+/bFE088Aa1WW22PHREREZEtsunEDgDmzZsHpVKJ+fPnIzc3F/7+/pgyZQoAYOfOncjMzERmZiYCAwNNXieEwJ07d7Br1y4kJSWVq7dfv35IS0sz9s55e3ujTZs2uHLlClq1amXtZhERERFZnM19FDtt2jTjLFAAkMvlmDt3LrKzs1FaWorz588jISEBwL1174QQFf4AwI4dO9C8eXOEh4eX209SUhKEEGjdurVxW1paGvLy8qzbQCIiIiIrsbnEzpJcXV2xdOlSqcOos9atW+PXX381SUKJiIjINoSHhyMlJaXCjqT6ZvMfxdbF4MGDpQ7BIlxcXDgbloiIyEY5OzvbzOxlh+6xIyIiImpImNgREREROQiH/iiWiKi+3P3vdzrfyT1r9X3JhA4KUQgdFNBDZvX9AcCdazkm/5J13bl2UeoQyE4xsSMisoDLF7IAAOe+Xl5NSft26ot3pQ6hQfH1cpc6BLIzTOyIiCxg/FOPAwD8gsPgVMOvQ6wtXVkZbl6/CoVSCYWCt3FH5evljs7tuK4qmYd3BCIiC/Dx8cHM+OfrZV9arRbnz5+HWq2GUsnbOBH9DydPEBERETkIJnZEREREDoKJHREREZGD4OAMIiI7VVZWJnUIRFQPzLnWmdgREdkZuVwOlUoFrVaL0tJSqcMhIisz5zpnYkdEZGcUCgUCAwOh1+ulDoWI6kF+fn6NyzKxIyKyQwqFAgqFQuowiKgeqFSqGpfl5AkiIiIiB8HEjoiIiMhBMLEjIiIichBM7IiIiIgcBBM7IiIiIgfBxI6IiIjIQXC5EyIiO6TT6biOHVEDodVqa1yWiR0RkZ3R6XS4ePGiWTd7IrJfBQUFNS7LxI6IyM7o9XpotVrI5XIolbyNEzk6tVpd47K8IxAR2SmlUsnEjqgBMOc65+QJIiIiIgfBxI6IiIjIQTCxIyIiInIQHJxBRETkII6fOYs/b+XX6z4be7qjQ2TLet0nVY6JHRERkQM4fuYsHh7UX5J9b/9hN5M7G8HEjoiIyAEYeur6THwVHn4hNXrN7bwL2PfxIvT5f3Ph4R9s9j5vXz6PfR8trvdeQqocEzsiIiIH4uEXgsYh5vWeefgHm/0ask2cPEFERETkIJjYERERETkIJnZERHakqKgIx44dQ3FxsdShEFlVcXExTp48yXPdTDab2AkhMHnyZHh7e0MmkyEtLc3i+4iNjcW0adMsXi8RkbWkp6ejR48e+OOPP6QOhciqMjMzERcXh8zMTKlDsSs2m9ilpKRgw4YN2LZtG/Ly8tCuXbtKy2ZnZ2PixIlo3rw5nJ2dERYWhgULFqC0tLRc2T179iAoKMiaoRMRERFJwmZnxWZlZcHf3x/R0dHVlk1PT4der8cHH3yA8PBwnDp1CpMmTcKdO3ewfPlyk7LJyckYPny4tcImIiIikoxN9thNmDAB8fHxuHDhAmQyGUJDQ6HX67Fs2TKEh4dDo9EgODgYixYtAgDExcVh/fr1GDx4MFq0aIERI0bg5Zdfxtdff12u7q1bt2LEiBHGx2VlZZg6dSo8PDzg4+ODefPmQQhRb20lIiIishSb7LFbuXIlwsLCsHbtWhw+fBgKhQIJCQlYt24dVqxYgZiYGOTl5SE9Pb3SOm7fvg1vb2+TbadPn8bVq1cxYMAA47ZPPvkEEydOxC+//IIjR45g8uTJCA4OxqRJkyqtu6SkBCUlJcbH+flcmJGI6odhIHlWVhaUSiWUSpu8jZMEsv/IAgDotOWHIVmLYV/Zf2ThpKvGonVnZGQAAO7evWvReh2dTd4RPDw84ObmBoVCAT8/PxQUFGDlypVYvXo1xo8fDwAICwtDTExMha/PzMzEqlWrKvwYdsiQIVCr1cZtQUFBWLFiBWQyGVq1aoWTJ09ixYoVVSZ2S5YsQWJiogVaSkRknuzsbADAzJkzpQ2EbFbh9ctoEl75uHRL7wsA5s2eYbV95OTkoFu3blar39HYZGL3oDNnzqCkpAQDBw6stuylS5cQFxeHxx9/vFxylpycjKlTp5ps69mzJ2QymfFxr1698M4770Cn00GhUFS4j4SEBMyY8b+TOD8/nxMyiKhehIaGAgDeeecdtGrVij12ZHT4xG+YN3sGXH386m2fhn29sexddItqY9G6MzIyEB8fz9+vZrKLO4Kzs3ONyuXm5qJ///6Ijo7G2rVrTZ7Ly8vDsWPHMGzYsDrHo9FooNFYtsuZiKgmDPfDsLAwtG/fnokdGV0rvDdESKFSV1PScgz7Cm1x73y0BicnJ6vU66hscvLEgyIiIuDs7Ixdu3ZVWubSpUuIjY1Fly5dsH79esjlpk379ttvER0dXW7c3aFDh0weHzx4EBEREZX21hERERHZKrv4U8/JyQlz5szB7NmzoVar0bt3b1y7dg2nT5/GxIkTjUldSEgIli9fjmvXrhlf6+d3r5v4wdmwBhcuXMCMGTPwt7/9DUePHsWqVavwzjvv1FvbiIiIiCzFLhI7AJg3bx6USiXmz5+P3Nxc+Pv7Y8qUKQCAnTt3IjMzE5mZmQgMDDR5nRACd+7cwa5du5CUlFSu3nHjxqG4uBjdu3eHQqHASy+9hMmTJ9dHk4iIiIgsymYTu2nTppl83ZdcLsfcuXMxd+7ccmUnTJiACRMmVFrXjh070Lx5c4SHh5tsT01NNf5/zZo1dQ2ZiIiISFI2m9hZkqurK5YuXSp1GEREdda6dWscOnSoxpPKiOxVeHg4UlJSynXKUNUaRGI3ePBgqUMgIrIIFxcXdOrUCefPn5c6FCKrcnZ2ttpMW0dmF7NiiYiIiKh6TOyIiIiIHESD+CiWiIjI0d0tvvedqn9eyKjxa27nXTD511y3L3NIgK1hYkdEROQAci+cAwD8vHF5NSXL2/fxojrtu7Gne51eT5bDxI6IiMgBPDbi3ldmBgQ3h5Nz/X0NV2NPd3SIbFlv+6OqMbEjIiJyAN7e3nhuwlipwyCJcfIEERERkYNgYkdERETkIJjYERERETkIjrEjIrJTZWVlUodARPXAnGudiR0RkZ2Ry+VQqVTQarUoLS2VOhwisjJzrnMmdkREdkahUCAwMBB6vV7qUIioHuTn59e4LBM7IiI7pFAooFAopA6DiOqBSqWqcVlOniAiIiJyEEzsiIiIiBwEEzsiIiIiB8HEjoiIiMhBMLEjIiIichBM7IiIiIgcBJc7ISKyQzqdjuvYETUQWq22xmWZ2BER2RmdToeLFy+adbMnIvtVUFBQ47JM7IiI7Ixer4dWq4VcLodSyds4kaNTq9U1Lss7AhGRnVIqlUzsiBoAc65zTp4gIiIichBM7IiIiIgcBBM7IiIiIgfBwRlERHVw9NRvuHbjdr3uU1dWhmtXL0OpUkOpUNTrvsm+eXm4oV2rCKnDICtiYkdEVEtHT/2GLu3bSh0GkVl2/JjK5M6BMbEjIqolQ0/dqFeWwzc4vN72K3RlEIU3AKUSMln9j6i5djEbXyctxGPTFsI3MLTe90+1c/1iNr5KWoibt2u+JhrZHyZ2RER15BscjoCI+uu5E7oyiNtXAKUKMrl0H8X6BoYiIKy1ZPsnovI4eYKIiIjIQTCxIyIiInIQTOzIooqKinD06FEUFRVJHQoREZFFFRcX4+TJkyguLpY6lEoxsSOLSk9PR5cuXZCeni51KERERBaVmZmJuLg4ZGZmSh1KpWwusRNCYPLkyfD29oZMJkNaWprUIRERERHZBZtL7FJSUrBhwwZs27YNeXl5aNeuXZXlR4wYgeDgYDg5OcHf3x9jx45Fbm5uuXJ79uxBUFCQtcImIiIikpzNJXZZWVnw9/dHdHQ0/Pz8oFRWvSJL//798fnnn+P333/HV199haysLIwePbpcueTkZAwfPtxaYRMRERFJzqYSuwkTJiA+Ph4XLlyATCZDaGgo9Ho9li1bhvDwcGg0GgQHB2PRokXG10yfPh09e/ZESEgIoqOj8corr+DgwYPQarUmdW/duhUjRozAtm3b4OnpCZ1OBwBIS0uDTCbDK6+8Yiz73HPP4ZlnnqmfRhMRERFZiE0tULxy5UqEhYVh7dq1OHz4MBQKBRISErBu3TqsWLECMTExyMvLq3Rg/o0bN7B582ZER0dDpVIZt58+fRpXr17FgAEDUFxcjIKCAhw7dgxdu3bFnj174OPjg9TUVGP5PXv2YM6cOZXGWVJSgpKSEuPj/Pz8ujfeQRhmCp05c0biSIisL+Ps7wAAbWlJNSWJpGc4T89lZcLTWVVNaapIRkYGAODu3bsSR1I5m0rsPDw84ObmBoVCAT8/PxQUFGDlypVYvXo1xo8fDwAICwtDTEyMyevmzJmD1atXo6ioCD179sS2bdtMnk9OTsaQIUOgVquhVqvRsWNHpKamomvXrkhNTcX06dORmJiIwsJC3L59G5mZmejXr1+lcS5ZsgSJiYmWPwAOIDs7GwDY40kNyq3LFxHStrPUYRBV6dbVPABAwsvTJY7E/uXk5KBbt25Sh1Ehm0rsHnTmzBmUlJRg4MCBVZabNWsWJk6ciPPnzyMxMRHjxo3Dtm3bIJPJANxL7KZOnWos369fP6SmpmLmzJnYt28flixZgs8//xw//fQTbty4gYCAAEREVP4FyQkJCZgxY4bxcX5+Pidm/FdoaCgAYNOmTYiMjJQ2GCIrO3D0BOInPQtPv0CpQyGqlmcTfwDAkuUr0Kkd78+1kZGRgfj4eJv+nW/TiZ2zs3ONyvn4+MDHxwctW7ZEZGQkgoKCcPDgQfTq1Qt5eXk4duwYhg0bZiwfGxuLjz/+GMePH4dKpULr1q0RGxuL1NRU3Lx5s8reOgDQaDTQaDR1apujMrxnkZGR6NyZPRjk2K4V3vtoS6Xm/YBsn+E8bR4Wjvbt20scjX1zcnKSOoRK2dTkiQdFRETA2dkZu3btqvFr9Ho9ABjHwH377beIjo6Gt7e3sUyfPn1QUFCAFStWGJM4Q2KXmpqK2NhYyzWCiIiIqJ7YdI+dk5MT5syZg9mzZ0OtVqN37964du0aTp8+jYkTJ+LQoUM4fPgwYmJi4OXlhaysLMybNw9hYWHo1asXgP/Nhr2fl5cXoqKisHnzZqxevRoA0LdvXzzxxBPQarXV9tgRERER2SKb7rEDgHnz5mHmzJmYP38+IiMjMWbMGFy9ehUA4OLigq+//hoDBw5Eq1atMHHiRERFRWHPnj3QaDS4c+cOdu3aVS6xA+6Ns9PpdMbeOW9vb7Rp0wZ+fn5o1apVfTaRiIiIyCJsrsdu2rRpmDZtmvGxXC7H3LlzMXfu3HJl27dvjx9//LHSunbs2IHmzZsjPDy83HNJSUlISkoy2cavLyMiIiJ7ZvM9dnXh6uqKpUuXSh1Gg9K6dWv8+uuvaN26tdShEBERWVR4eDhSUlIq7DCyFTbXY2dJgwcPljqEBsfFxYWzYYmIyCE5Ozvb/Ixih+6xIyIiImpImNgREREROQiH/iiWiMiaiouLAAB5Gafrdb9CVwZReANQKiGT1f/f59cuZpv8S/bhOt+vBoGJHRFRLeX8kQkASF5RftZ+Q/B10kKpQ6Ba8PJwkzoEsiImdkREtfTU46MAAEEtwuHs7FJv+9WVleHa1ctQqtRQKhT1tl+yf14ebmjXqvLvQif7x8SOiKiWfHx8EP/83+p9v1qtFufPn4darYZSyds4Ef0PJ08QEREROQgmdkREREQOgokdERERkYPg4AwiIjtVVlYmdQhEVA/MudaZ2BER2Rm5XA6VSgWtVovS0lKpwyEiKzPnOmdiR0RkZxQKBQIDA6HX66UOhYjqQX5+fo3LMrEjIrJDCoUCCq5hR9QgqFSqGpfl5AkiIiIiB8HEjoiIiMhBMLEjIiIichBM7IiIiIgcBBM7IiIiIgfBWbFERHZIp9NxuROiBkKr1da4LBM7IiI7o9PpcPHiRbNu9kRkvwoKCmpclokdEZGd0ev10Gq1kMvlUCp5GydydGq1usZleUcgIrJTSqWSiR1RA2DOdc7JE0REREQOgokdERERkYNgYkdERETkIJjYERERETkIjrolIiKykjNns3A7P1/qMGrNw90dkS3DpA6DzMDEjoiIyArOnM3CoP59pQ6jzn7YvZfJnR1hYkdERGQFhp66KXMXIyCkhcTRmMo9fw7/WJSAKXOXICCkeSVl/sA/Fr1q1z2ODRETOyIiIisKCGmB0JaRUodRoYCQ5jYbG9UOJ08QEREROQgmdkREREQOgokdERGVU1xcjJMnT6K4uFjqUIiqxHPVlM0mdkIITJ48Gd7e3pDJZEhLS7P4PmJjYzFt2jSL10tEZO8yMzMRFxeHzMxMqUMhqhLPVVM2m9ilpKRgw4YN2LZtG/Ly8tCuXbsqy48YMQLBwcFwcnKCv78/xo4di9zc3HLl9uzZg6CgIGuFTURERCQZm03ssrKy4O/vj+joaPj5+UGprHoCb//+/fH555/j999/x1dffYWsrCyMHj26XLnk5GQMHz7cWmETERERScYmE7sJEyYgPj4eFy5cgEwmQ2hoKPR6PZYtW4bw8HBoNBoEBwdj0aJFxtdMnz4dPXv2REhICKKjo/HKK6/g4MGD0Gq1JnVv3boVI0aMMD4uKyvD1KlT4eHhAR8fH8ybNw9CiHprKxEREZGl2OQ6ditXrkRYWBjWrl2Lw4cPQ6FQICEhAevWrcOKFSsQExODvLw8pKenV/j6GzduYPPmzYiOjoZKpTJuP336NK5evYoBAwYYt33yySeYOHEifvnlFxw5cgSTJ09GcHAwJk2aVGl8JSUlKCkpMT7O5+KNRORg7t69CwDIyMiQOBL79UfWvTFfpff9vrAnhrj/yMpEI41NpgsA/neOGs7Zhs4m3ykPDw+4ublBoVDAz88PBQUFWLlyJVavXo3x48cDAMLCwhATE2Pyujlz5mD16tUoKipCz549sW3bNpPnk5OTMWTIEKjVauO2oKAgrFixAjKZDK1atcLJkyexYsWKKhO7JUuWIDEx0YItJiKyLTk5OQCA+Ph4iSOxf9cv56Jl+45Sh2G265fvjVOfNWOatIHUUE5ODrp16yZ1GJKzycTuQWfOnEFJSQkGDhxYZblZs2Zh4sSJOH/+PBITEzFu3Dhs27YNMpkMwL3EburUqSav6dmzp/F5AOjVqxfeeecd6HQ6KBSKCveTkJCAGTNmGB/n5+dzQgYRORTDPW3VqlWIiIiQOBr7dPK3dMyaMQ0+fgFSh1IrhrjffjcJ7du0ljiaymVkZCA+Pp6/h//LLhI7Z2fnGpXz8fGBj48PWrZsicjISAQFBeHgwYPo1asX8vLycOzYMQwbNqzO8Wg0Gmg0mjrXQ0Rkq5ycnAAAERERaN++vcTR2Kc7JWUAALWd/r4wxN0iLNwuzgHDOdvQ2eTkiQdFRETA2dkZu3btqvFr9Ho9ABjHwn377beIjo6Gt7e3SblDhw6ZPD548CAiIiIq7a0jIiIislV20WPn5OSEOXPmYPbs2VCr1ejduzeuXbuG06dPY+LEiTh06BAOHz6MmJgYeHl5ISsrC/PmzUNYWBh69eoFoPxsWIMLFy5gxowZ+Nvf/oajR49i1apVeOedd+q7iURERER1ZheJHQDMmzcPSqUS8+fPR25uLvz9/TFlyhQAgIuLC77++mssWLAAd+7cgb+/P+Li4vDaa69Bo9Hgzp072LVrF5KSksrVO27cOBQXF6N79+5QKBR46aWXMHny5HpuHREREVHd2WxiN23aNJOv+5LL5Zg7dy7mzp1brmz79u3x448/VlrXjh070Lx5c4SHh5tsT01NNf5/zZo1dY6ZiIiISEp2McaurlxdXbF06VKpwyAishvh4eFISUkp9wcxka3huWrKZnvsLGnw4MFSh0BEZFecnZ3tYiYkEc9VUw2ix46IiIioIWBiR0REROQgGsRHsURERPXN8N2l2WfPSBxJebnnz5n8W3GZP+orHLIgJnZERERWcP7cvcTo4+W2+93i/1iUUG0ZD3f3eoiELIWJHRERkRUMHzYUABDSvIXdft2Vh7s7IluGSR0GmYGJHRERkRV4e3tj/NinpQ6DGhhOniAiIiJyEEzsiIiIiBwEEzsiIiIiB8ExdkREdqqsrEzqEIioHphzrTOxIyKyM3K5HCqVClqtFqWlpVKHQ0RWZs51zsSOiMjOKBQKBAYGQq/XSx0KEdWD/Pz8GpdlYkdEZIcUCgUUCoXUYRBRPVCpVDUuy8kTRERERA6CiR0RERGRg2BiR0REROQgmNgREREROQgmdkREREQOgokdERERkYPgcidERHZIp9NxHTuiBkKr1da4LBM7IiI7o9PpcPHiRbNu9kRkvwoKCmpclokdEZGd0ev10Gq1kMvlUCp5GydydGq1usZleUcgIrJTSqWSiR1RA2DOdc7JE0REREQOgokdERERkYNgYkdERETkIDg4g4iIHNrZzCzkFxRKHQbVgrubK1qGh0kdhl1hYkdERA7rbGYW+vfrK3UYVAe79+xlcmcGJnZEROSwDD11CW8sR3BzJgfWdOFcFpbMe9lix9pQH3tbzcPEjoiIHF5w8zBEtG4rdRgNAo+1tDh5goiIiMhBMLEjIiIichBM7IionKKiIhw9ehRFRUVSh0JEJIni4mKcPHkSxcXFUodiFiZ2RFROeno6unTpgvT0dKlDISKSRGZmJuLi4pCZmSl1KGap98Ru4cKF6Nixo1Xq3rBhAzw9PSt9vn///vjwww+tsm8iIiIiqTWYHrsbN25g//79GD58uNShEBEREVmF2YldSUkJXnzxRTRp0gROTk6IiYnB4cOHAVTcY/bvf/8bMpnM+HxiYiKOHz8OmUwGmUyGDRs2AABkMhnWrFmDoUOHwtnZGS1atMCXX35prCc1NRUymQy3bt0ybktLS4NMJkN2djZSU1Px7LPP4vbt28a6Fy5caCz73XffoXPnzmjatCm6du2K5cuXG58bOXIkVCoVCgvvrZVz8eJFyGQyu+t+JSIioobN7MRu9uzZ+Oqrr/DJJ5/g6NGjCA8Px5AhQ3Djxo1qXztmzBjMnDkTbdu2RV5eHvLy8jBmzBjj8/PmzcOoUaNw/PhxPP3003jyySdx5syZGsUVHR2NpKQkuLu7G+t++eWXjc9v3boVjz76KACgX79+SE1NBQAIIbBv3z54enrip59+AgDs2bMHzZo1Q3h4eE0PCxEREZHkzFqg+M6dO1izZg02bNiAoUOHAgDWrVuHnTt34qOPPoKvr2+Vr3d2doarqyuUSiX8/PzKPf/444/jueeeAwC88cYb2LlzJ1atWoX333+/2tjUajU8PDwgk8nK1V1SUoKUlBRjD15sbCw++ugj6HQ6nDp1Cmq1GmPGjEFqairi4uKQmpqKfv36VbqvkpISlJSUGB/n5+dXGx+RPTHMAqvpH1ZUv8rKypCXlwelUgmlkuvMVyXrv5+8lN53zyb7YHjPsjIzoVHW/8ixjIwMAMDdu3frfd91YdYdISsrC1qtFr179zZuU6lU6N69O86cOVNtYledXr16lXuclpZWpzoB4Mcff0STJk3Qtu29lbD79OmDgoICHDt2DAcOHEC/fv0QGxuLt956C8C9HrtZs2ZVWt+SJUuQmJhY57iIbFV2djYA4JlnnpE2ECILuZx7EW07dJY6DDLD5dyLAIAZ016UNI6cnBx069ZN0hjMYdE/9eRyOYQQJtu0Wq3F6gZgUn9N6966dStGjBhhfOzp6YkOHTogNTUVP//8Mx566CH07dsXY8aMwdmzZ5GRkVFlj11CQgJmzJhhfJyfn4+goCBzm0Rks0JDQwEAmzZtQmRkpLTBUDnssau539LPYsa0F+EXECh1KGQmw3v2btJ7aNO6Zb3vPyMjA/Hx8Xb3+92sO0JYWBjUajX279+PkJAQAPeSq8OHD2PatGnw9fVFQUEB7ty5g0aNGgFAuR43tVoNnU5XYf0HDx7EuHHjTB536tQJAIy9gXl5efDy8qpx3UIIfPvtt9i0aZPJ9n79+mH37t345ZdfsGjRInh7eyMyMhKLFi2Cv78/Wras/CTSaDTQaDSVPk9k75ydnQEAkZGR6NyZvRy2RqvVwtvbG2q1moldNUrK9AAANe/ZdsfwnoWFh6N9+/aSxeHk5CTZvmvDrA+tGzVqhOeffx6zZs1CSkoKfvvtN0yaNAlFRUWYOHEievToARcXF7z66qvIysrCP//5T+OsV4PQ0FCcO3cOaWlpuH79uslYtS+++AIff/wxzp49iwULFuCXX37B1KlTAQDh4eEICgrCwoULkZGRge+++w7vvPNOuboLCwuxa9cuXL9+HUVFRfj1119RVFSEmJgYk7KxsbHYsWMHlEolWrdubdy2efPmKnvriIiIiGyV2aMR33rrLYwaNQpjx45F586dkZmZiR07dsDLywve3t7YtGkTtm/fjvbt22PLli0mS44AwKhRoxAXF4f+/fvD19cXW7ZsMT6XmJiIf/3rX4iKisKnn36KLVu2oE2bNgDujeXbsmUL0tPTERUVhaVLl+LNN980qTs6OhpTpkzBmDFj4Ovri2XLliE5ORkPP/xwub9q+/TpA71eb5LExcbGQqfTITY21tzDQkRERCQ5s/vwnZyc8N577+G9996r8PmRI0di5MiRJtsmTZpk/L9GozFZn+5+AQEB+P777yvdd+/evXHixAmTbQ+O6VuzZg3WrFljfBwVFYXXXnutXF3e3t7Q6/XlYn+wPiIiIiJ74dDfPFFaWopRo0YZl2YhIiIicmQOPepWrVZjwYIFUodBZHdat26NX3/91Tj+lIiooQkPD0dKSordfVmBzSR2/AiUyHa4uLhwNiwRNWjOzs6SzsatLYf+KJaIiIioIWFiR0REROQgbOajWCIiIku7+9/vPc5IPy1xJI7vwrksk38tVR+Zh4kdERE5rHN/3EsO3n2z/LJXZB1L5r1s0frc3VwtWp+jY2JHREQOa9jD95a7at4iDE7//ao8sh/ubq5oGR4mdRh2hYkdERE5LG9vb4x95mmpwyCqN5w8QUREROQgmNgREREROQgmdkREREQOgmPsiIjsVFlZmdQhEFE9MOdaZ2JHRGRn5HI5VCoVtFotSktLpQ6HiKzMnOuciR0RkZ1RKBQIDAyEXq+XOhQiqgf5+fk1LsvEjojIDikUCigUCqnDIKJ6oFKpalyWkyeIiIiIHAQTOyIiIiIHwcSOiIiIyEEwsSMiIiJyEEzsiIiIiBwEZ8USEdkhnU7H5U6IGgitVlvjskzsiIjsjE6nw8WLF8262ROR/SooKKhxWSZ2RER2Rq/XQ6vVQi6XQ6nkbZzI0anV6hqX5R2BiMhOKZVKJnZEDYA51zknTxARERE5CCZ2RERERA6CiR0RERGRg2BiR0REROQgOOqWiIiohjKzslBQcEfqMMzi5tYI4WFhUodB9YSJHRERUQ1kZmWhX9++UodRK3v27mVy10AwsSMiIqoBQ0/dG8uS0LxFuGRxnPsjE/NmT6tRHIay9tbLSLXHxI6IiMgMzVuEI7JtO6nDsJk4yLZw8gQRERGRg2BiR0REDqW4uBgnT55EcXGx1KHYPR5L+8PEjoiIHEpmZibi4uKQmZkpdSh2j8fS/jToxC41NRUymQy3bt2SOhQiIiKiOpMssVu4cCE6duxolbo3bNgAT0/PSp/v378/PvzwQ6vsm4iIiEgqDa7H7saNG9i/fz+GDx8udShEREREFlXrxK6kpAQvvvgimjRpAicnJ8TExODw4cMAKu4x+/e//w2ZTGZ8PjExEcePH4dMJoNMJsOGDRsAADKZDGvWrMHQoUPh7OyMFi1a4MsvvzTWU9HHp2lpaZDJZMjOzkZqaiqeffZZ3L5921j3woULjWW/++47dO7cGU2bNjVu279/P6KiouDk5ISePXvi1KlTtT0sRERERJKp9Tp2s2fPxldffYVPPvkEISEhWLZsGYYMGVKjAZZjxozBqVOnkJKSgh9++AEA4OHhYXx+3rx5eOutt7By5Ups3LgRTz75JE6ePInIyMhq646OjkZSUhLmz5+P33//HQDg6upqfH7r1q149NFHTV4za9YsrFy5En5+fnj11VcxfPhwnD17FiqVqsJ9lJSUoKSkxPg4Pz+/2riIiKh+3L17FwCQkZFh0XoNv99KSu5atF5rMsSamZkJpUJm9usNx9BwTMn21Sqxu3PnDtasWYMNGzZg6NChAIB169Zh586d+Oijj+Dr61vl652dneHq6gqlUgk/P79yzz/++ON47rnnAABvvPEGdu7ciVWrVuH999+vNja1Wg0PDw/IZLJydZeUlCAlJcWkBw8AFixYgIceeggA8MknnyAwMBDffPMNnnjiiQr3sWTJEiQmJlYbCxER1b+cnBwAQHx8vFXqz710ER07d7VK3ZaWe+kiAGDaS3U7Fjk5OejWrZslQiIrq1Vil5WVBa1Wi969exu3qVQqdO/eHWfOnKk2satOr169yj1OS0urU50A8OOPP6JJkyZo27Ztpfvz9vZGq1atcObMmUrrSUhIwIwZM4yP8/PzERQUVOf4iIio7gz341WrViEiIsJi9ab/noFpL8UjoFmgxeq0NkOsSStXoXUr849FRkYG4uPj+TvOjljlK8XkcjmEECbbtFqtxeoGYFJ/TeveunUrRowYUecYNBoNNBpNneshIiLLc3JyAgBERESgffv2Fqu3THfv945G42SxOq3NEGt4eHidjoXhmJLtq9XkibCwMKjVauzfv9+4TavV4vDhw2jTpg18fX1RUFCAO3f+96XDD/a4qdVq6HS6Cus/ePBguceG8XWG3sC8vDyz6hZC4Ntvvy03vu7B/d28eRNnz56t0Xg+IiIiIltSqx67Ro0a4fnnn8esWbPg7e2N4OBgLFu2DEVFRZg4cSKEEHBxccGrr76KF198EYcOHTLOejUIDQ3FuXPnkJaWhsDAQLi5uRl7wb744gt07doVMTEx2Lx5M3755Rd89NFHAO791REUFISFCxdi0aJFOHv2LN55551ydRcWFmLXrl3o0KEDXFxc8Ntvv6GoqAgxMTHl2vP666+jcePGaNq0KebOnQsfHx+MHDmyNoeGiIiISDK1Xu7krbfewqhRozB27Fh07twZmZmZ2LFjB7y8vODt7Y1NmzZh+/btaN++PbZs2VJuwsKoUaMQFxeH/v37w9fXF1u2bDE+l5iYiH/961+IiorCp59+ii1btqBNmzYA7o3l27JlC9LT0xEVFYWlS5fizTffNKk7OjoaU6ZMwZgxY+Dr64tly5YhOTkZDz/8MJTK8rnsW2+9hZdeegldunTB5cuX8e2330KtVtf20BARERFJotZj7JycnPDee+/hvffeq/D5kSNHluv1mjRpkvH/Go3GZH26+wUEBOD777+vdN+9e/fGiRMnTLY9OKZvzZo1WLNmjfFxVFQUXnvtNZMysbGxxtc98sgjle6PiIiIyB40iG+eKC0txahRo4xLsxARkeMKDw9HSkoKwsPDpQ7F7vFY2h+rzIq1NWq1GgsWLJA6DCIiqgfOzs4WnQ3bkPFY2h+bS+we/EiViIiIiGqmQXwUS0RERNQQ2FyPHRERkS0qLi4GAKT/dkrSOM79kWnyb03KUsPBxI6IiKgG/vhvkvTm/FckjuSeebOn1bism1sj6wVCNoWJHRERUQ08/N+VFVq0CIezs7PE0dScm1sjhIeFSR0G1RMmdkRERDXg7e2NZ55+WuowiKrEyRNEREREDoKJHREREZGDYGJHRERE5CA4xo6IyE6VlZVJHQIR1QNzrnUmdkREdkYul0OlUkGr1aK0tFTqcIjIysy5zpnYERHZGYVCgcDAQOj1eqlDIaJ6kJ+fX+OyTOyIiOyQQqGAQqGQOgwiqgcqlarGZTl5goiIiMhBsMfOAoQQAMzrKiUiIiKqCUN+Ycg3qsLEzgIKCgoAAEFBQRJHQkRERI6qoKAAHh4eVZaRiZqkf1QlvV6P3NxcuLm5QSaTSR2O1eXn5yMoKAg5OTlwd3eXOpx60xDb3RDbDDTMdrPNDaPNQMNst723WQiBgoICBAQEQC6vehQde+wsQC6XIzAwUOow6p27u7tdXiB11RDb3RDbDDTMdrPNDUdDbLc9t7m6njoDTp4gIiIichBM7IiIiIgcBBM7MptGo8GCBQug0WikDqVeNcR2N8Q2Aw2z3Wxzw9EQ292Q2szJE0REREQOgj12RERERA6CiR0RERGRg2BiR0REROQgmNgREREROQgmdlRnOTk5iI2NRZs2bRAVFYUvvvjC+Nxf/vIXeHl5YfTo0RJGaB2VtW3FihVo27Yt2rRpgxdffLFG3+1nTyprd2hoKKKiotCxY0f0799fouiso6I2V3XeO6Lly5ejbdu2aNeuHTZt2iR1OPXi3Llz6N+/P9q0aYP27dvjzp07Uodkdbdu3ULXrl3RsWNHtGvXDuvWrZM6JIvbtm0bWrVqhYiICHz44YdSh2N5gqiOcnNzxbFjx4QQQuTl5YmAgABRWFgohBBi9+7dYuvWrWLUqFESRmgdFbXt6tWrokWLFqK4uFiUlZWJ6OhoceDAAQmjtLzK3tOQkBBRUFAgUVTWVVGbqzrvHc2JEydEp06dRHFxsSgqKhI9evQQN2/elDosq+vbt6/Yu3evEEKIP//8U2i1Wokjsr6ysjJx584dIYQQhYWFIjQ0VFy/fl3iqCxHq9WKiIgIcfHiRVFQUCBatmzpUO0TQgj22FGd+fv7o2PHjgAAPz8/+Pj44MaNGwCA2NhYuLm5SRid9VTWtrKyMty9exdarRZarRZNmjSRIDrrceT3tDIVtbmq897RnDlzBr169YKTkxOcnZ3RoUMHpKSkSB2WVZ0+fRoqlQp9+vQBAHh7e0OpdPxv4VQoFHBxcQEAlJSUQAjhUJ86/PLLL2jbti2aNWsGV1dXDB06FN9//73UYVkUEzvCkiVL0K1bN7i5uaFJkyYYOXIkfv/991rV9euvv0Kn0yEoKMjCUVqWJdt8P19fX7z88ssIDg5GQEAABg0ahLCwMAtEbBnWajcAyGQy9OvXD926dcPmzZstUqclWLPNBrZ+3tf1GLRr1w6pqam4desWbt68idTUVFy6dMmKEdddXduckZEBV1dXDB8+HJ07d8bixYutGK3lWOJ8v3XrFjp06IDAwEDMmjULPj4+VorWOqo6Brm5uWjWrJmxbLNmzWz+XDaX4//5QdXas2cPXnjhBXTr1g1lZWV49dVXMXjwYPz2229o1KgROnbsiLKysnKv+/777xEQEGB8fOPGDYwbN84uxmRYqs0PunnzJrZt24bs7Gw4Oztj6NCh2Lt3L/r27WvN5tSYtdoNAD/99BOaNWuGvLw8DBo0CO3bt0dUVJS1mlJj1mwzYB/nfV2PgWG86IABA+Dh4YGePXtCoVBI0JKaq2uby8rKsG/fPqSlpaFJkyaIi4tDt27d8NBDD0nQmpqzxPnu6emJ48eP48qVK3jssccwevRoNG3atL6bUmtVHYMGQerPgsn2XL16VQAQe/bsqfFr7t69K/r06SM+/fTTcs/t3r3b5sfY1abNQpRv2+effy7+/ve/Gx8vW7ZMLF261GJxWpql2v2gl19+Waxfv76O0VmHJdtc1Xlvy2p7DAwmTpwotm3bZuGorMvcNh84cEAMHjzY+HjZsmVi2bJl1grPaur6Xj///PPiiy++sHBU9ev+Y7B//34xcuRI43MvvfSS2Lx5s4TRWR4/iqVybt++DeDemJKaEEJgwoQJGDBgAMaOHWvN0KzG3DZXJigoCAcOHMDdu3eh0+mQmpqKVq1aWSJEq7BUu+/cuYOCggIAQGFhIX788Ue0bdu2zvFZg6XabM/nfW2OwdWrVwEAv//+O3755RcMGTLEKrFZi7lt7tatG65evYqbN29Cr9dj7969iIyMtGaIVmFuu69cuWK8lm/fvo29e/fa9D2sJu4/Bt27d8epU6dw6dIlFBYW4j//+Y/dncvVkjqzJNui0+nEsGHDRO/evWv8mn379gmZTCY6dOhg/Dlx4oQQQoiBAwcKHx8f4ezsLJo1a2aTM0Rr02YhKm/bq6++Klq3bi3atGkj4uPjhV6vt0bYdWbJdmdlZYmoqCgRFRUl2rZtK5KSkqwUdd1Yss1Vnfe2rLbHoGfPniIyMlJ07dpVHDlyxErRWUdt27x9+3bRrl070bZtWzF9+nQrRWc9tWn3oUOHRIcOHURUVJRo3769+Mc//mHFCK2vomOQnJwsIiIiRFhYmPjggw8kjM46mNiRiSlTpoiQkBCRk5MjdSj1piG2WYiG2e6G2OYHNcRj0BDbLETDbff9GuIxYGJHRi+88IIIDAwUf/zxh9Sh1JuG2GYhGma7G2KbH9QQj0FDbLMQDbfd92uox4CJHQm9Xi9eeOEFERAQIM6ePSt1OPWiIbZZiIbZ7obY5gc1xGPQENssRMNt9/0a+jHgcieEF154Af/85z+RnJwMNzc3XL58GQDg4eEBZ2dniaOzjobYZqBhtrshtvlBDfEYNMQ2Aw233fdr6MdAJoQDLSlNtSKTySrcvn79ekyYMKF+g6knDbHNQMNsd0Ns84Ma4jFoiG0GGm6779fQjwETOyIiIiIHwXXsiIiIiBwEEzsiIiIiB8HEjoiIiMhBMLEjIiIichBM7IiIiIgcBBM7IiIiIgfBxI6IiIjIQTCxIyIiInIQTOyIiIiIHAQTOyIiIiIHwcSOiIiIyEEwsSMiIiJyEEzsiIjqwbVr1+Dn54fFixcbtx04cABqtRq7du2SMDIiciQyIYSQOggiooZg+/btGDlyJA4cOIBWrVqhY8eOePTRR/Huu+9KHRoROQgmdkRE9eiFF17ADz/8gK5du+LkyZM4fPgwNBqN1GERkYNgYkdEVI+Ki4vRrl075OTk4Ndff0X79u2lDomIHAjH2BER1aOsrCzk5uZCr9cjOztb6nCIyMGwx46IqJ6Ulpaie/fu6NixI1q1aoWkpCScPHkSTZo0kTo0InIQTOyIiOrJrFmz8OWXX+L48eNwdXVFv3794OHhgW3btkkdGhE5CH4US0RUD1JTU5GUlISNGzfC3d0dcrkcGzduxL59+7BmzRqpwyMiB8EeOyIiIiIHwR47IiIiIgfBxI6IiIjIQTCxIyIiInIQTOyIiIiIHAQTOyIiIiIHwcSOiIiIyEEwsSMiIiJyEEzsiIiIiBwEEzsiIiIiB8HEjoiIiMhBMLEjIiIichBM7IiIiIgcxP8HYPM792cSHWAAAAAASUVORK5CYII=", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "config['LayerName']['fc1']['Precision']['weight'] = 'ap_fixed<8,2>'\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", @@ -501,28 +160,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n" - ] - } - ], + "outputs": [], "source": [ "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", @@ -544,37 +184,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Writing HLS project\n", - "Done\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Recompiling myproject with tracing\n", - "Writing HLS project\n", - "Done\n", - "32/32 [==============================] - 0s 978us/step\n", - "Done taking outputs for Keras model.\n" - ] - } - ], + "outputs": [], "source": [ "hls_model.compile()\n", "hls4ml_pred, hls4ml_trace = hls_model.trace(X_test[:1000])\n", @@ -592,45 +204,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Keras layer 'fc1', first sample:\n", - "[ 1.53240442e-01 3.83771062e-01 -1.35508668e+00 -9.80046272e-01\n", - " 4.17230844e-01 -9.43974137e-01 -1.11537896e-01 -1.61585939e+00\n", - " 3.04606557e-01 -2.28965536e-01 -1.96045661e+00 2.80708343e-01\n", - " 4.39803779e-01 2.93515325e-01 -1.17504366e-01 1.91309862e-03\n", - " -3.76116961e-01 -2.55258632e+00 -1.94957942e-01 1.06653959e-01\n", - " -6.15378737e-01 5.08246601e-01 4.67352122e-02 7.57919610e-01\n", - " -9.46448147e-01 3.64407480e-01 1.71742201e-01 6.47619247e-01\n", - " 3.07749152e-01 4.05062616e-01 5.65542042e-01 -1.07323098e+00\n", - " 3.19736099e+00 -2.55101979e-01 -7.53164768e-01 5.65172255e-01\n", - " -3.24212623e+00 4.28534865e-01 -7.59696960e-02 -2.96360925e-02\n", - " -5.41086495e-01 1.03803247e-01 4.68588948e-01 -3.40203643e-02\n", - " -8.03923488e-01 -1.36009586e+00 9.50798988e-01 2.55219996e-01\n", - " 7.49187469e-01 7.00555369e-02 7.25180089e-01 -1.35886741e+00\n", - " -5.99129021e-01 4.73353803e-01 3.01074207e-01 5.31956494e-01\n", - " -4.24107432e-01 1.23901427e-01 5.44594169e-01 6.14035785e-01\n", - " 5.69497943e-01 3.93959045e-01 -5.17612755e-01 -7.15565503e-01]\n", - "hls4ml layer 'fc1', first sample:\n", - "[ 0.10644531 0.36425781 -1.3984375 -1.04003906 0.40429688 -0.984375\n", - " -0.19628906 -1.65820312 0.23828125 -0.26855469 -2.01464844 0.27832031\n", - " 0.40136719 0.26074219 -0.13574219 0.03808594 -0.4453125 -2.61230469\n", - " -0.21386719 0.08203125 -0.67089844 0.48339844 0.015625 0.73144531\n", - " -0.99511719 0.3125 0.11621094 0.59863281 0.24316406 0.38867188\n", - " 0.53808594 -1.12304688 3.1796875 -0.24414062 -0.79589844 0.5\n", - " -3.26269531 0.43945312 -0.11132812 -0.04589844 -0.60644531 0.09082031\n", - " 0.44140625 -0.04199219 -0.83789062 -1.43652344 0.91699219 0.20605469\n", - " 0.68945312 0.0703125 0.75 -1.42382812 -0.64941406 0.421875\n", - " 0.26855469 0.48535156 -0.45117188 0.07324219 0.49804688 0.58007812\n", - " 0.55957031 0.33496094 -0.50976562 -0.77148438]\n" - ] - } - ], + "outputs": [], "source": [ "print(\"Keras layer 'fc1', first sample:\")\n", "print(keras_trace['fc1'][0])\n", @@ -648,38 +224,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Keras Accuracy: 0.7643975903614458\n", - "hls4ml Accuracy: 0.7572289156626506\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -735,70 +282,9 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", - "-----------------------------------\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Writing HLS project\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n", - "Keras Accuracy: 0.7643975903614458\n", - "hls4ml Accuracy: 0.7639518072289156\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "config = hls4ml.utils.config_from_keras_model(model, granularity='Model', backend='Vitis')\n", "print(\"-----------------------------------\")\n", @@ -834,494 +320,9 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", - " **** SW Build 5069499 on May 21 2024\n", - " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", - " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", - " **** Start of session at: Thu Sep 19 11:38:28 2024\n", - " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", - " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", - "\n", - "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", - "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:38:30 EDT 2024\n", - "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2'\n", - "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", - "Sourcing Tcl script 'build_prj.tcl'\n", - "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", - "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj'.\n", - "INFO: [HLS 200-1510] Running: set_top myproject \n", - "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", - "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", - "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", - "INFO: [HLS 200-1510] Running: open_solution solution1 \n", - "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1'.\n", - "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", - "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", - "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", - "SYNTAX \n", - " config_array_partition [OPTIONS]\n", - " -auto_partition_threshold *** DEPRECATED***\n", - " -auto_promotion_threshold *** DEPRECATED***\n", - " -complete_threshold \n", - " -throughput_driven \n", - "\n", - "SEE ALSO\n", - " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", - " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", - "\n", - "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", - "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", - "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", - "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", - "***** C/RTL SYNTHESIS *****\n", - "INFO: [HLS 200-1510] Running: csynth_design \n", - "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.07 seconds; current allocated memory: 326.410 MB.\n", - "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", - "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", - "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 7.98 seconds. CPU system time: 0.69 seconds. Elapsed time: 8.71 seconds; current allocated memory: 331.277 MB.\n", - "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", - "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "WARNING: [HLS 200-1995] There were 248,375 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 74,760 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 74,463 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 73,998 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 26,628 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,672 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,704 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,656 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,626 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,632 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 13,510 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_1/hls4ml_prj_2/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", - "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", - "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", - "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", - "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", - "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", - "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", - "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 65.7 seconds. CPU system time: 0.82 seconds. Elapsed time: 69.53 seconds; current allocated memory: 346.016 MB.\n", - "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.016 MB.\n", - "INFO: [HLS 200-10] Starting code transformations ...\n", - "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.32 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.34 seconds; current allocated memory: 360.152 MB.\n", - "INFO: [HLS 200-10] Checking synthesizability ...\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' into 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:66) automatically.\n", - "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.41 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 367.832 MB.\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' into 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:66) automatically.\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...823 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...1663 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...940 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:21)...148 expression(s) balanced.\n", - "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.28 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.3 seconds; current allocated memory: 417.629 MB.\n", - "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.92 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.97 seconds; current allocated memory: 543.953 MB.\n", - "INFO: [HLS 200-10] Starting hardware synthesis ...\n", - "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 3.05 seconds. CPU system time: 0.04 seconds. Elapsed time: 3.1 seconds; current allocated memory: 578.234 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 2.5 seconds. CPU system time: 0.05 seconds. Elapsed time: 2.55 seconds; current allocated memory: 668.668 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.38 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.4 seconds; current allocated memory: 668.668 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.05 seconds; current allocated memory: 668.668 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 4.59 seconds. CPU system time: 0 seconds. Elapsed time: 4.6 seconds; current allocated memory: 668.668 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 7.08 seconds. CPU system time: 0.09 seconds. Elapsed time: 7.18 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.49 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.5 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 2.24 seconds. CPU system time: 0 seconds. Elapsed time: 2.25 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.78 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.79 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.28 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.29 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.53 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.53 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0 seconds. Elapsed time: 0.15 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 2, Depth = 14, function 'myproject'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.16 seconds. CPU system time: 0 seconds. Elapsed time: 0.16 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.08 seconds. CPU system time: 0 seconds. Elapsed time: 0.09 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' is 12632 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 50 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 24 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 48 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 42 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 63 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 78 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.72 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.73 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.61 seconds. CPU system time: 0.06 seconds. Elapsed time: 1.69 seconds; current allocated memory: 872.117 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 27704 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 62 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 23 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 43 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 39 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 88 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 76 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 115 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 63 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.95 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.98 seconds; current allocated memory: 893.805 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 2.87 seconds. CPU system time: 0.15 seconds. Elapsed time: 3.03 seconds; current allocated memory: 996.012 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 14841 from HDL expression: (1'b1 == ap_CS_fsm_state1)\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 61 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 35 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 27 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 64 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 29 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.53 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.56 seconds; current allocated memory: 1.004 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 1.22 seconds. CPU system time: 0.08 seconds. Elapsed time: 1.32 seconds; current allocated memory: 1.065 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 18 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 7 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.25 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.27 seconds; current allocated memory: 1.082 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.33 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 1.090 GB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.41 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.41 seconds; current allocated memory: 1.106 GB.\n", - "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.88 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.91 seconds; current allocated memory: 1.126 GB.\n", - "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.82 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.86 seconds; current allocated memory: 1.180 GB.\n", - "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", - "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", - "INFO: [HLS 200-789] **** Estimated Fmax: 228.79 MHz\n", - "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:58; Allocated memory: 881.605 MB.\n", - "***** C/RTL SYNTHESIS COMPLETED IN 0h1m58s *****\n", - "INFO: [HLS 200-112] Total CPU user time: 116.2 seconds. Total CPU system time: 2.56 seconds. Total elapsed time: 121.77 seconds; peak allocated memory: 1.180 GB.\n", - "Vivado synthesis report not found.\n", - "Cosim report not found.\n", - "Timing report not found.\n" - ] - }, - { - "data": { - "text/plain": [ - "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", - " 'EstimatedClockPeriod': '4.371',\n", - " 'BestLatency': '13',\n", - " 'WorstLatency': '13',\n", - " 'IntervalMin': '2',\n", - " 'IntervalMax': '2',\n", - " 'BRAM_18K': '8',\n", - " 'DSP': '1476',\n", - " 'FF': '9302',\n", - " 'LUT': '102510',\n", - " 'URAM': '0',\n", - " 'AvailableBRAM_18K': '5376',\n", - " 'AvailableDSP': '12288',\n", - " 'AvailableFF': '3456000',\n", - " 'AvailableLUT': '1728000',\n", - " 'AvailableURAM': '1280'}}" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "hls_model.build(csim=False)" ] @@ -1335,749 +336,18 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj_2/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:40:27 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 13| 13| 65.000 ns| 65.000 ns| 2| 2| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 2| 2| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 16| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 1476| 6904| 102435| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 59| -|\n", - "|Register | -| -| 2398| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 1476| 9302| 102510| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 80| 817| 4792| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 1450| 26187| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44236| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21566| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 1476| 6904| 102435| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_11001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp147 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp16 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp214 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp281 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp288 | and| 0| 0| 2| 1| 1|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95_ap_start | or| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 16| 8| 9|\n", - " +------------------------------------------------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |ap_NS_fsm | 14| 3| 1| 3|\n", - " |ap_enable_reg_pp0_iter0 | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 59| 13| 261| 523|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 2| 0| 2| 0|\n", - " |ap_enable_reg_pp0_iter0_reg | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2121 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2126 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2131 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2136 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2141 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2146 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2151 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2156 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2161 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2166 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2076 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2171 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2176 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2181 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2186 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2191 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2196 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2201 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2206 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2211 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2216 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2081 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2221 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2226 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2086 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2091 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2096 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2101 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2106 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2111 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2116 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2071 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2236 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2241 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2246 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2251 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2231 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1641 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1646 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1651 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1656 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1661 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1666 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1671 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1676 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1681 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1686 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1596 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1691 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1696 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1701 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1706 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1711 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1716 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1721 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1726 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1731 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1736 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1601 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1741 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_1746 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_1751 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_1756 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_1761 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_1766 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_1771 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_1776 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_1781 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_1786 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1606 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_1791 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_1796 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_1801 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_1806 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_1811 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_1816 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_1821 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_1826 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_1831 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_1836 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1611 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_1841 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_1846 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_1851 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_1856 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_1861 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_1866 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_1871 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_1876 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_1881 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_1886 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1616 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_1891 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_1896 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_1901 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_1906 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1621 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1626 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1631 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1636 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1591 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_1961 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_1966 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_1971 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_1976 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_1981 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_1986 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_1991 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_1996 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2001 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2006 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_1916 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2011 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2016 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2021 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2026 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2031 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2036 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2041 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2046 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2051 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2056 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_1921 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2061 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2066 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_1926 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_1931 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_1936 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_1941 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_1946 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_1951 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_1956 | 16| 0| 16| 0|\n", - " |layer7_out_reg_1911 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 2398| 0| 2398| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj_2')" ] }, { "cell_type": "code", - "execution_count": 16, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:32:21 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 14| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 1502| 5936| 101789| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3427| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 1502| 9363| 101839| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 1502| 5936| 101789| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 14| 7| 8|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", - " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", - " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", - " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", - " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", - " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", - " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3427| 0| 3427| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj')" ] diff --git a/part3_compression.ipynb b/part3_compression.ipynb index f3912ff2..ba492ea5 100644 --- a/part3_compression.ipynb +++ b/part3_compression.ipynb @@ -9,25 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:43:34.646874: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-19 11:43:34.649374: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:43:34.682814: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-19 11:43:34.682835: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-19 11:43:34.682856: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-19 11:43:34.688742: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:43:34.689255: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-19 11:43:35.511825: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -56,7 +40,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -78,7 +62,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -91,18 +75,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:44:02.170454: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" - ] - } - ], + "outputs": [], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -125,7 +100,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -149,574 +124,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n", - " 1/487 [..............................] - ETA: 15:23 - loss: 1.7080 - accuracy: 0.2139WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0021s vs `on_train_batch_end` time: 0.0067s). Check your callbacks.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0021s vs `on_train_batch_end` time: 0.0067s). Check your callbacks.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "473/487 [============================>.] - ETA: 0s - loss: 0.9531 - accuracy: 0.6876\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.82827, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.82827, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 1: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 1: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 4s 4ms/step - loss: 0.9495 - accuracy: 0.6889 - val_loss: 0.8283 - val_accuracy: 0.7320 - lr: 0.0010\n", - "Epoch 2/30\n", - " 41/487 [=>............................] - ETA: 1s - loss: 0.8179 - accuracy: 0.7339" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "469/487 [===========================>..] - ETA: 0s - loss: 0.7972 - accuracy: 0.7405\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 2: val_loss improved from 0.82827 to 0.78032, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 2: val_loss improved from 0.82827 to 0.78032, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 2: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 2: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7964 - accuracy: 0.7407 - val_loss: 0.7803 - val_accuracy: 0.7459 - lr: 0.0010\n", - "Epoch 3/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.7662 - accuracy: 0.7493\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 3: val_loss improved from 0.78032 to 0.76543, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 3: val_loss improved from 0.78032 to 0.76543, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 3: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 3: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7662 - accuracy: 0.7493 - val_loss: 0.7654 - val_accuracy: 0.7499 - lr: 0.0010\n", - "Epoch 4/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.7498 - accuracy: 0.7538\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 4: val_loss improved from 0.76543 to 0.74740, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 4: val_loss improved from 0.76543 to 0.74740, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 4: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 4: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7498 - accuracy: 0.7538 - val_loss: 0.7474 - val_accuracy: 0.7553 - lr: 0.0010\n", - "Epoch 5/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.8025 - accuracy: 0.7298\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 5: val_loss did not improve from 0.74740\n", - "\n", - "Epoch 5: val_loss did not improve from 0.74740\n", - "\n", - "Epoch 5: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 5: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.8014 - accuracy: 0.7302 - val_loss: 0.7495 - val_accuracy: 0.7479 - lr: 0.0010\n", - "Epoch 6/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.7369 - accuracy: 0.7509\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 6: val_loss improved from 0.74740 to 0.73467, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 6: val_loss improved from 0.74740 to 0.73467, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 6: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 6: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7370 - accuracy: 0.7509 - val_loss: 0.7347 - val_accuracy: 0.7517 - lr: 0.0010\n", - "Epoch 7/30\n", - "469/487 [===========================>..] - ETA: 0s - loss: 0.7268 - accuracy: 0.7532\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 7: val_loss improved from 0.73467 to 0.72695, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 7: val_loss improved from 0.73467 to 0.72695, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 7: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 7: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7265 - accuracy: 0.7533 - val_loss: 0.7270 - val_accuracy: 0.7545 - lr: 0.0010\n", - "Epoch 8/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.7203 - accuracy: 0.7555\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 8: val_loss improved from 0.72695 to 0.72173, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 8: val_loss improved from 0.72695 to 0.72173, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 8: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 8: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7203 - accuracy: 0.7555 - val_loss: 0.7217 - val_accuracy: 0.7556 - lr: 0.0010\n", - "Epoch 9/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.7154 - accuracy: 0.7571\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 9: val_loss improved from 0.72173 to 0.71887, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 9: val_loss improved from 0.72173 to 0.71887, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 9: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 9: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7151 - accuracy: 0.7570 - val_loss: 0.7189 - val_accuracy: 0.7561 - lr: 0.0010\n", - "Epoch 10/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.7109 - accuracy: 0.7587\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 10: val_loss improved from 0.71887 to 0.71442, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 10: val_loss improved from 0.71887 to 0.71442, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_epoch10.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7112 - accuracy: 0.7586 - val_loss: 0.7144 - val_accuracy: 0.7576 - lr: 0.0010\n", - "Epoch 11/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.7080 - accuracy: 0.7592\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 11: val_loss improved from 0.71442 to 0.71140, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 11: val_loss improved from 0.71442 to 0.71140, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 11: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 11: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7079 - accuracy: 0.7593 - val_loss: 0.7114 - val_accuracy: 0.7587 - lr: 0.0010\n", - "Epoch 12/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.7053 - accuracy: 0.7599\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 12: val_loss improved from 0.71140 to 0.70925, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 12: val_loss improved from 0.71140 to 0.70925, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 12: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 12: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7053 - accuracy: 0.7599 - val_loss: 0.7093 - val_accuracy: 0.7589 - lr: 0.0010\n", - "Epoch 13/30\n", - "465/487 [===========================>..] - ETA: 0s - loss: 0.7031 - accuracy: 0.7605\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 13: val_loss improved from 0.70925 to 0.70669, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 13: val_loss improved from 0.70925 to 0.70669, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 13: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 13: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7029 - accuracy: 0.7605 - val_loss: 0.7067 - val_accuracy: 0.7595 - lr: 0.0010\n", - "Epoch 14/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.7009 - accuracy: 0.7613\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 14: val_loss improved from 0.70669 to 0.70652, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 14: val_loss improved from 0.70669 to 0.70652, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 14: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 14: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7010 - accuracy: 0.7612 - val_loss: 0.7065 - val_accuracy: 0.7602 - lr: 0.0010\n", - "Epoch 15/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6998 - accuracy: 0.7616\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 15: val_loss improved from 0.70652 to 0.70403, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 15: val_loss improved from 0.70652 to 0.70403, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 15: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 15: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6995 - accuracy: 0.7617 - val_loss: 0.7040 - val_accuracy: 0.7606 - lr: 0.0010\n", - "Epoch 16/30\n", - "479/487 [============================>.] - ETA: 0s - loss: 0.6979 - accuracy: 0.7619\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 16: val_loss improved from 0.70403 to 0.70252, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 16: val_loss improved from 0.70403 to 0.70252, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 16: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 16: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6978 - accuracy: 0.7620 - val_loss: 0.7025 - val_accuracy: 0.7608 - lr: 0.0010\n", - "Epoch 17/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.6963 - accuracy: 0.7628\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 17: val_loss improved from 0.70252 to 0.70121, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 17: val_loss improved from 0.70252 to 0.70121, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 17: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 17: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6967 - accuracy: 0.7626 - val_loss: 0.7012 - val_accuracy: 0.7613 - lr: 0.0010\n", - "Epoch 18/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.6953 - accuracy: 0.7628\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 18: val_loss improved from 0.70121 to 0.70102, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 18: val_loss improved from 0.70121 to 0.70102, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 18: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 18: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6955 - accuracy: 0.7627 - val_loss: 0.7010 - val_accuracy: 0.7614 - lr: 0.0010\n", - "Epoch 19/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6946 - accuracy: 0.7630\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 19: val_loss improved from 0.70102 to 0.69993, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 19: val_loss improved from 0.70102 to 0.69993, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 19: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 19: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6943 - accuracy: 0.7631 - val_loss: 0.6999 - val_accuracy: 0.7615 - lr: 0.0010\n", - "Epoch 20/30\n", - "467/487 [===========================>..] - ETA: 0s - loss: 0.6933 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 20: val_loss improved from 0.69993 to 0.69843, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 20: val_loss improved from 0.69993 to 0.69843, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_epoch20.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6932 - accuracy: 0.7631 - val_loss: 0.6984 - val_accuracy: 0.7617 - lr: 0.0010\n", - "Epoch 21/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.6924 - accuracy: 0.7635\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 21: val_loss improved from 0.69843 to 0.69777, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 21: val_loss improved from 0.69843 to 0.69777, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 21: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 21: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6923 - accuracy: 0.7635 - val_loss: 0.6978 - val_accuracy: 0.7619 - lr: 0.0010\n", - "Epoch 22/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.6914 - accuracy: 0.7638\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 22: val_loss improved from 0.69777 to 0.69724, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 22: val_loss improved from 0.69777 to 0.69724, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 22: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 22: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6914 - accuracy: 0.7638 - val_loss: 0.6972 - val_accuracy: 0.7620 - lr: 0.0010\n", - "Epoch 23/30\n", - "469/487 [===========================>..] - ETA: 0s - loss: 0.6908 - accuracy: 0.7640\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 23: val_loss improved from 0.69724 to 0.69669, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 23: val_loss improved from 0.69724 to 0.69669, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 23: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 23: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6906 - accuracy: 0.7641 - val_loss: 0.6967 - val_accuracy: 0.7623 - lr: 0.0010\n", - "Epoch 24/30\n", - "469/487 [===========================>..] - ETA: 0s - loss: 0.6895 - accuracy: 0.7644\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 24: val_loss improved from 0.69669 to 0.69510, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 24: val_loss improved from 0.69669 to 0.69510, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 24: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 24: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6896 - accuracy: 0.7643 - val_loss: 0.6951 - val_accuracy: 0.7631 - lr: 0.0010\n", - "Epoch 25/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.6889 - accuracy: 0.7645\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 25: val_loss improved from 0.69510 to 0.69485, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 25: val_loss improved from 0.69510 to 0.69485, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 25: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 25: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.6890 - accuracy: 0.7644 - val_loss: 0.6948 - val_accuracy: 0.7628 - lr: 0.0010\n", - "Epoch 26/30\n", - "482/487 [============================>.] - ETA: 0s - loss: 0.6880 - accuracy: 0.7649\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 26: val_loss did not improve from 0.69485\n", - "\n", - "Epoch 26: val_loss did not improve from 0.69485\n", - "\n", - "Epoch 26: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 26: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6883 - accuracy: 0.7648 - val_loss: 0.6950 - val_accuracy: 0.7625 - lr: 0.0010\n", - "Epoch 27/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6878 - accuracy: 0.7648\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 27: val_loss improved from 0.69485 to 0.69295, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 27: val_loss improved from 0.69485 to 0.69295, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 27: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 27: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6875 - accuracy: 0.7649 - val_loss: 0.6930 - val_accuracy: 0.7632 - lr: 0.0010\n", - "Epoch 28/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.6872 - accuracy: 0.7649\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 28: val_loss improved from 0.69295 to 0.69250, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 28: val_loss improved from 0.69295 to 0.69250, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 28: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 28: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6871 - accuracy: 0.7648 - val_loss: 0.6925 - val_accuracy: 0.7630 - lr: 0.0010\n", - "Epoch 29/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.6865 - accuracy: 0.7649\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 29: val_loss improved from 0.69250 to 0.69213, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 29: val_loss improved from 0.69250 to 0.69213, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 29: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 29: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6866 - accuracy: 0.7648 - val_loss: 0.6921 - val_accuracy: 0.7631 - lr: 0.0010\n", - "Epoch 30/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.6861 - accuracy: 0.7651\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 30: val_loss did not improve from 0.69213\n", - "\n", - "Epoch 30: val_loss did not improve from 0.69213\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_epoch30.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.6860 - accuracy: 0.7651 - val_loss: 0.6928 - val_accuracy: 0.7629 - lr: 0.0010\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "if train:\n", @@ -760,27 +170,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "% of zeros = 0.75\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "w = model.layers[0].weights[0].numpy()\n", "h, b = np.histogram(w, bins=100)\n", @@ -801,40 +193,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 4s 831us/step\n", - "5188/5188 [==============================] - 4s 819us/step\n", - "Accuracy unpruned: 0.7643975903614458\n", - "Accuracy pruned: 0.7625722891566266\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -880,532 +241,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Writing HLS project\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n", - "\n", - "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", - " **** SW Build 5069499 on May 21 2024\n", - " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", - " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", - " **** Start of session at: Thu Sep 19 11:45:13 2024\n", - " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", - " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", - "\n", - "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", - "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:45:15 EDT 2024\n", - "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj'\n", - "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", - "Sourcing Tcl script 'build_prj.tcl'\n", - "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", - "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj'.\n", - "INFO: [HLS 200-1510] Running: set_top myproject \n", - "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", - "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", - "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", - "INFO: [HLS 200-1510] Running: open_solution solution1 \n", - "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1'.\n", - "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", - "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", - "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", - "SYNTAX \n", - " config_array_partition [OPTIONS]\n", - " -auto_partition_threshold *** DEPRECATED***\n", - " -auto_promotion_threshold *** DEPRECATED***\n", - " -complete_threshold \n", - " -throughput_driven \n", - "\n", - "SEE ALSO\n", - " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", - " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", - "\n", - "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", - "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", - "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", - "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", - "***** C/RTL SYNTHESIS *****\n", - "INFO: [HLS 200-1510] Running: csynth_design \n", - "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0 seconds. Elapsed time: 0.08 seconds; current allocated memory: 326.414 MB.\n", - "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", - "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", - "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.12 seconds. CPU system time: 1 seconds. Elapsed time: 9.16 seconds; current allocated memory: 331.234 MB.\n", - "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", - "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "WARNING: [HLS 200-1995] There were 236,000 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 69,311 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 68,822 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 68,357 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 21,838 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,476 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,476 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,508 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,460 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,430 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,238 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,044 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,044 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,050 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,057 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", - "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", - "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", - "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", - "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", - "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", - "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", - "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", - "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 68.6 seconds. CPU system time: 0.76 seconds. Elapsed time: 70.35 seconds; current allocated memory: 346.820 MB.\n", - "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.820 MB.\n", - "INFO: [HLS 200-10] Starting code transformations ...\n", - "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 355.262 MB.\n", - "INFO: [HLS 200-10] Checking synthesizability ...\n", - "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.21 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.22 seconds; current allocated memory: 360.816 MB.\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...256 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...509 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...238 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", - "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 0.63 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.65 seconds; current allocated memory: 396.617 MB.\n", - "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 0.73 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.76 seconds; current allocated memory: 488.801 MB.\n", - "INFO: [HLS 200-10] Starting hardware synthesis ...\n", - "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.13 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.16 seconds; current allocated memory: 498.438 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.46 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.48 seconds; current allocated memory: 520.535 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.21 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.22 seconds; current allocated memory: 520.535 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 520.535 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.91 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.92 seconds; current allocated memory: 523.020 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.47 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.5 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.22 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.23 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.02 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.89 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.9 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.39 seconds. CPU system time: 0 seconds. Elapsed time: 0.4 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.13 seconds. CPU system time: 0 seconds. Elapsed time: 0.14 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.02 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.17 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0 seconds. Elapsed time: 0.04 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.1 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.02 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.13 seconds. CPU system time: 0 seconds. Elapsed time: 0.13 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.05 seconds. CPU system time: 0 seconds. Elapsed time: 0.05 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 42 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 31 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 25 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 28 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 22 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 20 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.31 seconds; current allocated memory: 593.957 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.48 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.5 seconds; current allocated memory: 601.641 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 12800 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 77 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 49 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 14 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 18 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 21 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 44 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 19 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 53 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 48 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.52 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.55 seconds; current allocated memory: 628.285 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.9 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.95 seconds; current allocated memory: 683.188 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 6520 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 43 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 19 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 20 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 23 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 15 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 697.160 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.42 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.44 seconds; current allocated memory: 721.832 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 12 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 10 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.13 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.14 seconds; current allocated memory: 722.199 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.14 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.15 seconds; current allocated memory: 730.812 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.31 seconds; current allocated memory: 747.812 MB.\n", - "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.45 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.48 seconds; current allocated memory: 753.324 MB.\n", - "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 0.9 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.93 seconds; current allocated memory: 779.520 MB.\n", - "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", - "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", - "INFO: [HLS 200-789] **** Estimated Fmax: 239.69 MHz\n", - "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:34; Allocated memory: 453.105 MB.\n", - "***** C/RTL SYNTHESIS COMPLETED IN 0h1m34s *****\n", - "INFO: [HLS 200-112] Total CPU user time: 94.03 seconds. Total CPU system time: 2.5 seconds. Total elapsed time: 97.42 seconds; peak allocated memory: 779.520 MB.\n", - "Vivado synthesis report not found.\n", - "Cosim report not found.\n", - "Timing report not found.\n" - ] - }, - { - "data": { - "text/plain": [ - "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", - " 'EstimatedClockPeriod': '4.172',\n", - " 'BestLatency': '12',\n", - " 'WorstLatency': '12',\n", - " 'IntervalMin': '1',\n", - " 'IntervalMax': '1',\n", - " 'BRAM_18K': '8',\n", - " 'DSP': '834',\n", - " 'FF': '5196',\n", - " 'LUT': '33849',\n", - " 'URAM': '0',\n", - " 'AvailableBRAM_18K': '5376',\n", - " 'AvailableDSP': '12288',\n", - " 'AvailableFF': '3456000',\n", - " 'AvailableLUT': '1728000',\n", - " 'AvailableURAM': '1280'}}" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", @@ -1428,376 +266,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_2/hls4ml_prj//myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:46:49 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 10| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 834| 2204| 33803| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 2992| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 834| 5196| 33849| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 27| ~0| 7| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 6| ~0| 1| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 39| 0| 917| 0|\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 223| 0| 6644| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 378| 1218| 14255| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 189| 594| 7131| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 798| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2204| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1064| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 834| 2204| 33803| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp192 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp243 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 10| 5| 6|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2077 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2082 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2087 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2092 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2097 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2102 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2107 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2112 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2117 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2122 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2027 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2072 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2032 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2037 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2042 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2047 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2052 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2057 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2062 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2067 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2022 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2132 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2137 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2142 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2147 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2127 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1357 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1362 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1367 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1372 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1377 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1382 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1387 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1392 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1397 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1402 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1307 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1407 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1412 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1417 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1422 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1427 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1432 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1437 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1442 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1447 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1452 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1312 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1457 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1462 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1467 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1472 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1477 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1482 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1487 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1492 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1497 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1502 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1317 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1507 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1512 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1517 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1522 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1527 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1322 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1352 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1327 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1332 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1337 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1342 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1347 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1302 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1647 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1652 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1657 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1662 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1667 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1672 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1677 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1682 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1687 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1692 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1597 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1697 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1702 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1707 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1712 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1717 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1722 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1727 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1732 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1737 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1742 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1602 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1747 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_1752 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_1757 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_1762 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_1767 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_1772 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_1777 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_1782 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_1787 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_1792 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1607 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_1797 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_1802 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_1807 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_1812 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_1817 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_1822 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_1827 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_1832 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_1837 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_1842 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1612 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_1847 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_1642 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1617 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1622 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1627 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1632 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1637 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1592 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_1937 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_1942 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_1947 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_1952 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_1957 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_1962 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_1967 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_1972 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_1977 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_1982 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_1887 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_1987 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_1992 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_1997 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2002 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2007 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2012 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2017 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_1932 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_1892 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_1897 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_1902 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_1907 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_1912 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_1917 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_1922 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_1927 | 16| 0| 16| 0|\n", - " |layer7_out_reg_1882 | 16| 0| 16| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 2992| 0| 2992| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj/')" ] @@ -1811,408 +282,9 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:32:21 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 14| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 1502| 5936| 101789| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3427| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 1502| 9363| 101839| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 1502| 5936| 101789| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 14| 7| 8|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", - " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", - " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", - " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", - " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", - " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", - " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3427| 0| 3427| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] diff --git a/part4.1_HG_quantization.ipynb b/part4.1_HG_quantization.ipynb index d5d3dc16..d4cc8b15 100644 --- a/part4.1_HG_quantization.ipynb +++ b/part4.1_HG_quantization.ipynb @@ -29,7 +29,7 @@ "\n", "tf.random.set_seed(seed)\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VIVADO'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -391,30 +391,7 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_3.1/hls4ml_prj/vivado_hls.log`" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Notice\n", - "\n", - "- For `vivado_hls`, adding a inline recursive pragma can greatly reduce the latency of the model (up to 50% for HGQ models). You can comment this cell out for comparison.\n", - "- For `vitis`, pipelining and inlining cannot co-exist, this comment out this cell if you want to use `vitis`." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "with open('model_3.1/hls4ml_prj/firmware/myproject.cpp', 'r+') as f:\n", - " code = f.read()\n", - " f.seek(0)\n", - " code = code.replace('#pragma HLS PIPELINE', '#pragma HLS PIPELINE\\n #pragma HLS INLINE RECURSIVE', 1)\n", - " f.write(code)" + "`tail -f model_3.1/hls4ml_prj/vitis_hls.log`" ] }, { @@ -431,7 +408,7 @@ "metadata": {}, "source": [ "## Check the reports\n", - "Print out the reports generated by Vivado HLS. Pay attention to the Utilization Estimates' section in particular this time.\n", + "Print out the reports generated by Vitis HLS. Pay attention to the Utilization Estimates' section in particular this time.\n", "\n", "## Notice\n", "We strip away the softmax layer compare to part 4, which takes 3~5 cycles to compute. The overall latency could be comparable." @@ -469,7 +446,7 @@ "metadata": {}, "source": [ "## NB\n", - "Note as well that the Vivado HLS `csynth` resource estimates tend to _overestimate_ on chip resource usage. Running the subsequent stages of FPGA compilation reveals the more realistic resource usage, You can run the next step, 'logic synthesis' with `hls_model.build(synth=True, vsynth=True)`, but we skipped it in this tutorial in the interest of time." + "Note as well that the Vitis HLS `csynth` resource estimates tend to _overestimate_ on chip resource usage. Running the subsequent stages of FPGA compilation reveals the more realistic resource usage, You can run the next step, 'logic synthesis' with `hls_model.build(synth=True, vsynth=True)`, but we skipped it in this tutorial in the interest of time." ] }, { @@ -496,7 +473,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.7" + "version": "3.10.14" } }, "nbformat": 4, diff --git a/part4_quantization.ipynb b/part4_quantization.ipynb index 74002623..60d5f382 100644 --- a/part4_quantization.ipynb +++ b/part4_quantization.ipynb @@ -9,25 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:47:48.563292: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-19 11:47:48.566059: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:47:48.601084: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-19 11:47:48.601104: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-19 11:47:48.601126: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-19 11:47:48.607573: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 11:47:48.608216: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-19 11:47:49.374104: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -56,7 +40,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -82,7 +66,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -104,18 +88,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 11:48:01.729712: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" - ] - } - ], + "outputs": [], "source": [ "model = Sequential()\n", "model.add(\n", @@ -175,7 +150,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -199,588 +174,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:absl:`lr` is deprecated in Keras optimizer, please use `learning_rate` or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", - " warnings.warn(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - " 1/487 [..............................] - ETA: 22:49 - loss: 1.7775 - accuracy: 0.1270WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0037s vs `on_train_batch_end` time: 0.0098s). Check your callbacks.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0037s vs `on_train_batch_end` time: 0.0098s). Check your callbacks.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "474/487 [============================>.] - ETA: 0s - loss: 0.9666 - accuracy: 0.6811\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.81417, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.81417, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 1: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 1: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 5s 5ms/step - loss: 0.9626 - accuracy: 0.6825 - val_loss: 0.8142 - val_accuracy: 0.7352 - lr: 0.0010\n", - "Epoch 2/30\n", - " 31/487 [>.............................] - ETA: 1s - loss: 0.8023 - accuracy: 0.7389" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "484/487 [============================>.] - ETA: 0s - loss: 0.7848 - accuracy: 0.7444\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 2: val_loss improved from 0.81417 to 0.77223, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 2: val_loss improved from 0.81417 to 0.77223, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 2: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 2: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7848 - accuracy: 0.7444 - val_loss: 0.7722 - val_accuracy: 0.7483 - lr: 0.0010\n", - "Epoch 3/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.7581 - accuracy: 0.7518\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 3: val_loss improved from 0.77223 to 0.75304, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 3: val_loss improved from 0.77223 to 0.75304, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 3: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 3: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7580 - accuracy: 0.7518 - val_loss: 0.7530 - val_accuracy: 0.7519 - lr: 0.0010\n", - "Epoch 4/30\n", - "482/487 [============================>.] - ETA: 0s - loss: 0.7428 - accuracy: 0.7547\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 4: val_loss improved from 0.75304 to 0.74169, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 4: val_loss improved from 0.75304 to 0.74169, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 4: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 4: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7428 - accuracy: 0.7548 - val_loss: 0.7417 - val_accuracy: 0.7550 - lr: 0.0010\n", - "Epoch 5/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.8003 - accuracy: 0.7373\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 5: val_loss did not improve from 0.74169\n", - "\n", - "Epoch 5: val_loss did not improve from 0.74169\n", - "\n", - "Epoch 5: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 5: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.8003 - accuracy: 0.7373 - val_loss: 0.7553 - val_accuracy: 0.7493 - lr: 0.0010\n", - "Epoch 6/30\n", - "478/487 [============================>.] - ETA: 0s - loss: 0.7420 - accuracy: 0.7514\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 6: val_loss improved from 0.74169 to 0.73882, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 6: val_loss improved from 0.74169 to 0.73882, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 6: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 6: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7421 - accuracy: 0.7514 - val_loss: 0.7388 - val_accuracy: 0.7521 - lr: 0.0010\n", - "Epoch 7/30\n", - "473/487 [============================>.] - ETA: 0s - loss: 0.7307 - accuracy: 0.7539\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 7: val_loss improved from 0.73882 to 0.73006, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 7: val_loss improved from 0.73882 to 0.73006, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 7: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 7: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7305 - accuracy: 0.7540 - val_loss: 0.7301 - val_accuracy: 0.7543 - lr: 0.0010\n", - "Epoch 8/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.7233 - accuracy: 0.7558\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 8: val_loss improved from 0.73006 to 0.72313, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 8: val_loss improved from 0.73006 to 0.72313, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 8: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 8: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7231 - accuracy: 0.7559 - val_loss: 0.7231 - val_accuracy: 0.7562 - lr: 0.0010\n", - "Epoch 9/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.7180 - accuracy: 0.7572\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 9: val_loss improved from 0.72313 to 0.72037, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 9: val_loss improved from 0.72313 to 0.72037, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 9: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 9: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7177 - accuracy: 0.7573 - val_loss: 0.7204 - val_accuracy: 0.7564 - lr: 0.0010\n", - "Epoch 10/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.7142 - accuracy: 0.7584\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 10: val_loss improved from 0.72037 to 0.71890, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 10: val_loss improved from 0.72037 to 0.71890, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 10: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 10: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 10: saving model to model_3/KERAS_check_model_epoch10.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7142 - accuracy: 0.7584 - val_loss: 0.7189 - val_accuracy: 0.7567 - lr: 0.0010\n", - "Epoch 11/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.7114 - accuracy: 0.7590\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 11: val_loss improved from 0.71890 to 0.71330, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 11: val_loss improved from 0.71890 to 0.71330, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 11: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 11: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7112 - accuracy: 0.7591 - val_loss: 0.7133 - val_accuracy: 0.7589 - lr: 0.0010\n", - "Epoch 12/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.7081 - accuracy: 0.7595\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 12: val_loss improved from 0.71330 to 0.71108, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 12: val_loss improved from 0.71330 to 0.71108, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 12: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 12: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7081 - accuracy: 0.7595 - val_loss: 0.7111 - val_accuracy: 0.7589 - lr: 0.0010\n", - "Epoch 13/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.7052 - accuracy: 0.7605\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 13: val_loss improved from 0.71108 to 0.70811, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 13: val_loss improved from 0.71108 to 0.70811, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 13: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 13: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7052 - accuracy: 0.7605 - val_loss: 0.7081 - val_accuracy: 0.7598 - lr: 0.0010\n", - "Epoch 14/30\n", - "478/487 [============================>.] - ETA: 0s - loss: 0.7029 - accuracy: 0.7612\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 14: val_loss improved from 0.70811 to 0.70669, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 14: val_loss improved from 0.70811 to 0.70669, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 14: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 14: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7030 - accuracy: 0.7611 - val_loss: 0.7067 - val_accuracy: 0.7601 - lr: 0.0010\n", - "Epoch 15/30\n", - "485/487 [============================>.] - ETA: 0s - loss: 0.7021 - accuracy: 0.7609\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 15: val_loss improved from 0.70669 to 0.70526, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 15: val_loss improved from 0.70669 to 0.70526, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 15: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 15: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7020 - accuracy: 0.7610 - val_loss: 0.7053 - val_accuracy: 0.7608 - lr: 0.0010\n", - "Epoch 16/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.7009 - accuracy: 0.7613\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 16: val_loss did not improve from 0.70526\n", - "\n", - "Epoch 16: val_loss did not improve from 0.70526\n", - "\n", - "Epoch 16: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 16: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7009 - accuracy: 0.7613 - val_loss: 0.7075 - val_accuracy: 0.7595 - lr: 0.0010\n", - "Epoch 17/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.7005 - accuracy: 0.7618\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 17: val_loss improved from 0.70526 to 0.70399, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 17: val_loss improved from 0.70526 to 0.70399, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 17: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 17: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7005 - accuracy: 0.7619 - val_loss: 0.7040 - val_accuracy: 0.7608 - lr: 0.0010\n", - "Epoch 18/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.6991 - accuracy: 0.7621\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 18: val_loss did not improve from 0.70399\n", - "\n", - "Epoch 18: val_loss did not improve from 0.70399\n", - "\n", - "Epoch 18: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 18: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6994 - accuracy: 0.7620 - val_loss: 0.7040 - val_accuracy: 0.7609 - lr: 0.0010\n", - "Epoch 19/30\n", - "478/487 [============================>.] - ETA: 0s - loss: 0.6993 - accuracy: 0.7619\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 19: val_loss improved from 0.70399 to 0.70153, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 19: val_loss improved from 0.70399 to 0.70153, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 19: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 19: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6989 - accuracy: 0.7620 - val_loss: 0.7015 - val_accuracy: 0.7611 - lr: 0.0010\n", - "Epoch 20/30\n", - "479/487 [============================>.] - ETA: 0s - loss: 0.6979 - accuracy: 0.7624\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 20: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 20: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 20: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 20: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 20: saving model to model_3/KERAS_check_model_epoch20.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6978 - accuracy: 0.7624 - val_loss: 0.7033 - val_accuracy: 0.7611 - lr: 0.0010\n", - "Epoch 21/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.6966 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 21: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 21: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 21: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 21: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6967 - accuracy: 0.7631 - val_loss: 0.7021 - val_accuracy: 0.7610 - lr: 0.0010\n", - "Epoch 22/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.6962 - accuracy: 0.7630\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 22: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 22: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 22: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 22: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6962 - accuracy: 0.7630 - val_loss: 0.7021 - val_accuracy: 0.7611 - lr: 0.0010\n", - "Epoch 23/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.6960 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 23: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 23: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 23: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 23: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6959 - accuracy: 0.7631 - val_loss: 0.7023 - val_accuracy: 0.7615 - lr: 0.0010\n", - "Epoch 24/30\n", - "485/487 [============================>.] - ETA: 0s - loss: 0.6955 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 24: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 24: val_loss did not improve from 0.70153\n", - "\n", - "Epoch 24: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 24: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6956 - accuracy: 0.7630 - val_loss: 0.7024 - val_accuracy: 0.7615 - lr: 0.0010\n", - "Epoch 25/30\n", - "485/487 [============================>.] - ETA: 0s - loss: 0.6946 - accuracy: 0.7633\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 25: val_loss improved from 0.70153 to 0.70150, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 25: val_loss improved from 0.70153 to 0.70150, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 25: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 25: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6945 - accuracy: 0.7633 - val_loss: 0.7015 - val_accuracy: 0.7604 - lr: 0.0010\n", - "Epoch 26/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.6947 - accuracy: 0.7633\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 26: val_loss improved from 0.70150 to 0.70076, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 26: val_loss improved from 0.70150 to 0.70076, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 26: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 26: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6950 - accuracy: 0.7633 - val_loss: 0.7008 - val_accuracy: 0.7627 - lr: 0.0010\n", - "Epoch 27/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.6942 - accuracy: 0.7634\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 27: val_loss improved from 0.70076 to 0.70009, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 27: val_loss improved from 0.70076 to 0.70009, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 27: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 27: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6941 - accuracy: 0.7634 - val_loss: 0.7001 - val_accuracy: 0.7611 - lr: 0.0010\n", - "Epoch 28/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7639\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 28: val_loss improved from 0.70009 to 0.69914, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 28: val_loss improved from 0.70009 to 0.69914, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 28: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 28: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6933 - accuracy: 0.7638 - val_loss: 0.6991 - val_accuracy: 0.7625 - lr: 0.0010\n", - "Epoch 29/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.6925 - accuracy: 0.7638\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 29: val_loss did not improve from 0.69914\n", - "\n", - "Epoch 29: val_loss did not improve from 0.69914\n", - "\n", - "Epoch 29: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 29: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6925 - accuracy: 0.7638 - val_loss: 0.6994 - val_accuracy: 0.7612 - lr: 0.0010\n", - "Epoch 30/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.6926 - accuracy: 0.7638\n", - "***callbacks***\n", - "saving losses to model_3/losses.log\n", - "\n", - "Epoch 30: val_loss improved from 0.69914 to 0.69765, saving model to model_3/KERAS_check_best_model.h5\n", - "\n", - "Epoch 30: val_loss improved from 0.69914 to 0.69765, saving model to model_3/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 30: saving model to model_3/KERAS_check_model_last.h5\n", - "\n", - "Epoch 30: saving model to model_3/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 30: saving model to model_3/KERAS_check_model_epoch30.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6923 - accuracy: 0.7639 - val_loss: 0.6976 - val_accuracy: 0.7622 - lr: 0.0010\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "if train:\n", @@ -836,167 +232,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " fc1_input\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " fc1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu1\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc2_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu2\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc3_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu3\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " output_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " softmax\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n", - " exp_table_t: ap_fixed<18,8>\n", - " inv_table_t: ap_fixed<18,4>\n", - "-----------------------------------\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Writing HLS project\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", - " warnings.warn(\n", - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n", - "5188/5188 [==============================] - 6s 1ms/step\n" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "import plotting\n", @@ -1020,40 +258,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 5s 955us/step\n", - "Accuracy baseline: 0.7643975903614458\n", - "Accuracy pruned, quantized: 0.7611927710843374\n", - "Accuracy hls4ml: 0.7613795180722892\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "%matplotlib inline\n", "from sklearn.metrics import accuracy_score\n", @@ -1099,446 +306,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", - " **** SW Build 5069499 on May 21 2024\n", - " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", - " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", - " **** Start of session at: Thu Sep 19 11:50:46 2024\n", - " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", - " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", - "\n", - "source /home/tools/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", - "INFO: [HLS 200-10] For user 'jschulte' on host 'COS-6PRIME-2955.science.purdue.edu' (Linux_x86_64 version 5.14.0-427.20.1.el9_4.x86_64) on Thu Sep 19 11:50:48 EDT 2024\n", - "INFO: [HLS 200-10] In directory '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj'\n", - "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", - "Sourcing Tcl script 'build_prj.tcl'\n", - "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", - "INFO: [HLS 200-10] Creating and opening project '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj'.\n", - "INFO: [HLS 200-1510] Running: set_top myproject \n", - "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", - "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", - "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", - "INFO: [HLS 200-1510] Running: open_solution solution1 \n", - "INFO: [HLS 200-10] Creating and opening solution '/home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1'.\n", - "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", - "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", - "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", - "SYNTAX \n", - " config_array_partition [OPTIONS]\n", - " -auto_partition_threshold *** DEPRECATED***\n", - " -auto_promotion_threshold *** DEPRECATED***\n", - " -complete_threshold \n", - " -throughput_driven \n", - "\n", - "SEE ALSO\n", - " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", - " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", - "\n", - "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", - "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", - "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", - "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", - "***** C/RTL SYNTHESIS *****\n", - "INFO: [HLS 200-1510] Running: csynth_design \n", - "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.08 seconds; current allocated memory: 326.414 MB.\n", - "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", - "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", - "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 8.58 seconds. CPU system time: 1.02 seconds. Elapsed time: 9.64 seconds; current allocated memory: 331.234 MB.\n", - "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", - "INFO: [HLS 200-1995] There were 8,693 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "WARNING: [HLS 200-1995] There were 244,858 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 74,082 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 73,510 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 73,152 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 27,011 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,531 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,531 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,563 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,515 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,515 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,323 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,129 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,129 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,135 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 11,145 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/jschulte/hls4ml-tutorial/model_3/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, ap_fixed<6, 1, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", - "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", - "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", - "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", - "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", - "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", - "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.75)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>) (.46.55)' into 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_add >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", - "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 67.36 seconds. CPU system time: 0.78 seconds. Elapsed time: 70.3 seconds; current allocated memory: 346.820 MB.\n", - "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0 seconds. Elapsed time: 0 seconds; current allocated memory: 346.820 MB.\n", - "INFO: [HLS 200-10] Starting code transformations ...\n", - "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.28 seconds; current allocated memory: 356.148 MB.\n", - "INFO: [HLS 200-10] Checking synthesizability ...\n", - "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.38 seconds. CPU system time: 0 seconds. Elapsed time: 0.38 seconds; current allocated memory: 365.879 MB.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config7>'... converting 117 basic blocks.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config4>'... converting 233 basic blocks.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (firmware/nnet_utils/nnet_activation.h:42:9) in function 'nnet::relu, ap_ufixed<6, 0, (ap_q_mode)4, (ap_o_mode)0, 0>, relu_config10>'... converting 101 basic blocks.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:36:1)...4 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...229 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_dense_latency.h:33:27)...425 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...216 expression(s) balanced.\n", - "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 1.44 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.48 seconds; current allocated memory: 401.934 MB.\n", - "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 1.05 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.08 seconds; current allocated memory: 497.398 MB.\n", - "INFO: [HLS 200-10] Starting hardware synthesis ...\n", - "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.66 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.7 seconds; current allocated memory: 505.848 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.18 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.19 seconds; current allocated memory: 506.281 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config4>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.55 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.56 seconds; current allocated memory: 520.941 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.23 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.24 seconds; current allocated memory: 520.945 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.3 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.31 seconds; current allocated memory: 539.043 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.62 seconds. CPU system time: 0 seconds. Elapsed time: 0.62 seconds; current allocated memory: 540.832 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config7>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.36 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.38 seconds; current allocated memory: 544.570 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.1 seconds; current allocated memory: 545.223 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.68 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.69 seconds; current allocated memory: 554.812 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.25 seconds. CPU system time: 0 seconds. Elapsed time: 0.25 seconds; current allocated memory: 555.246 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_ufixed<6, 0, 4, 0, 0>, relu_config10>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.29 seconds; current allocated memory: 560.211 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.08 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.08 seconds; current allocated memory: 560.910 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 563.523 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.04 seconds; current allocated memory: 563.523 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 4, function 'softmax_stable,softmax_config13>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.09 seconds. CPU system time: 0 seconds. Elapsed time: 0.11 seconds; current allocated memory: 564.441 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 564.441 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0 seconds. Elapsed time: 0.14 seconds; current allocated memory: 566.121 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.06 seconds. CPU system time: 0 seconds. Elapsed time: 0.06 seconds; current allocated memory: 566.121 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_21_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_21_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.27 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.27 seconds; current allocated memory: 582.750 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.51 seconds. CPU system time: 0.04 seconds. Elapsed time: 0.55 seconds; current allocated memory: 615.441 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5ns_10_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5s_11_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6ns_11_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 7 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.9 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.96 seconds; current allocated memory: 656.977 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.8 seconds. CPU system time: 0.05 seconds. Elapsed time: 0.85 seconds; current allocated memory: 694.699 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5ns_10_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_5s_11_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6ns_11_1_1': 21 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.44 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.47 seconds; current allocated memory: 716.375 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.49 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.53 seconds; current allocated memory: 741.863 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_6ns_6s_12_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.34 seconds; current allocated memory: 759.371 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_18s_18s_30_1_0': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.18 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.21 seconds; current allocated memory: 774.750 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.32 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.33 seconds; current allocated memory: 782.383 MB.\n", - "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.6 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.64 seconds; current allocated memory: 799.004 MB.\n", - "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 1.35 seconds. CPU system time: 0.04 seconds. Elapsed time: 1.39 seconds; current allocated memory: 838.094 MB.\n", - "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", - "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", - "INFO: [HLS 200-789] **** Estimated Fmax: 229.75 MHz\n", - "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:35; Allocated memory: 511.680 MB.\n", - "***** C/RTL SYNTHESIS COMPLETED IN 0h1m35s *****\n", - "INFO: [HLS 200-112] Total CPU user time: 94.41 seconds. Total CPU system time: 2.63 seconds. Total elapsed time: 99.14 seconds; peak allocated memory: 838.094 MB.\n", - "Vivado synthesis report not found.\n", - "Cosim report not found.\n", - "Timing report not found.\n" - ] - }, - { - "data": { - "text/plain": [ - "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", - " 'EstimatedClockPeriod': '4.353',\n", - " 'BestLatency': '12',\n", - " 'WorstLatency': '12',\n", - " 'IntervalMin': '1',\n", - " 'IntervalMax': '1',\n", - " 'BRAM_18K': '8',\n", - " 'DSP': '34',\n", - " 'FF': '3199',\n", - " 'LUT': '42160',\n", - " 'URAM': '0',\n", - " 'AvailableBRAM_18K': '5376',\n", - " 'AvailableDSP': '12288',\n", - " 'AvailableFF': '3456000',\n", - " 'AvailableLUT': '1728000',\n", - " 'AvailableURAM': '1280'}}" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "hls_model.build(csim=False)" ] @@ -1553,406 +323,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_3/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:52:23 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.353 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret5_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s_fu_258 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_349 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 3| 3| 15.000 ns| 15.000 ns| 1| 1| yes|\n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 10| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 34| 847| 42114| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 2352| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 34| 3199| 42160| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 1| ~0| 9| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| ~0| ~0| 2| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP| FF | LUT | URAM|\n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 29| 0| 8074| 0|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 86| 1449| 0|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s | 0| 0| 460| 13289| 0|\n", - " |call_ret5_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s_fu_258 |dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config8_s | 0| 0| 0| 7628| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config10_s | 0| 0| 0| 2475| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config4_s | 0| 0| 0| 5742| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_ufixed_6_0_4_0_0_relu_config7_s | 0| 0| 0| 2871| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_349 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 301| 586| 0|\n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", - " |Total | | 8| 34| 847| 42114| 0|\n", - " +----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+----+-----+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp246 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp253 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 10| 5| 6|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config11_s_fu_320_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_ufixed_6_0_4_0_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2237 | 6| 0| 6| 0|\n", - " |layer10_out_11_reg_2242 | 6| 0| 6| 0|\n", - " |layer10_out_12_reg_2247 | 6| 0| 6| 0|\n", - " |layer10_out_13_reg_2252 | 6| 0| 6| 0|\n", - " |layer10_out_14_reg_2257 | 6| 0| 6| 0|\n", - " |layer10_out_15_reg_2262 | 6| 0| 6| 0|\n", - " |layer10_out_16_reg_2267 | 6| 0| 6| 0|\n", - " |layer10_out_17_reg_2272 | 6| 0| 6| 0|\n", - " |layer10_out_18_reg_2277 | 6| 0| 6| 0|\n", - " |layer10_out_19_reg_2282 | 6| 0| 6| 0|\n", - " |layer10_out_1_reg_2187 | 6| 0| 6| 0|\n", - " |layer10_out_20_reg_2287 | 6| 0| 6| 0|\n", - " |layer10_out_21_reg_2292 | 6| 0| 6| 0|\n", - " |layer10_out_22_reg_2297 | 6| 0| 6| 0|\n", - " |layer10_out_23_reg_2302 | 6| 0| 6| 0|\n", - " |layer10_out_24_reg_2232 | 6| 0| 6| 0|\n", - " |layer10_out_2_reg_2192 | 6| 0| 6| 0|\n", - " |layer10_out_3_reg_2197 | 6| 0| 6| 0|\n", - " |layer10_out_4_reg_2202 | 6| 0| 6| 0|\n", - " |layer10_out_5_reg_2207 | 6| 0| 6| 0|\n", - " |layer10_out_6_reg_2212 | 6| 0| 6| 0|\n", - " |layer10_out_7_reg_2217 | 6| 0| 6| 0|\n", - " |layer10_out_8_reg_2222 | 6| 0| 6| 0|\n", - " |layer10_out_9_reg_2227 | 6| 0| 6| 0|\n", - " |layer10_out_reg_2182 | 6| 0| 6| 0|\n", - " |layer11_out_1_reg_2312 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2317 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2322 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2307 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1387 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1392 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1397 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1402 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1407 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1412 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1417 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1422 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1427 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1432 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1337 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1437 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1442 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1447 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1452 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1457 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1462 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1467 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1472 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1477 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1482 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1342 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1487 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1492 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1497 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1502 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1507 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1512 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1517 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1522 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1527 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1347 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1352 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1382 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1357 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1362 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1367 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1372 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1377 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1332 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1677 | 6| 0| 6| 0|\n", - " |layer4_out_11_reg_1682 | 6| 0| 6| 0|\n", - " |layer4_out_12_reg_1687 | 6| 0| 6| 0|\n", - " |layer4_out_13_reg_1692 | 6| 0| 6| 0|\n", - " |layer4_out_14_reg_1697 | 6| 0| 6| 0|\n", - " |layer4_out_15_reg_1702 | 6| 0| 6| 0|\n", - " |layer4_out_16_reg_1707 | 6| 0| 6| 0|\n", - " |layer4_out_17_reg_1712 | 6| 0| 6| 0|\n", - " |layer4_out_18_reg_1717 | 6| 0| 6| 0|\n", - " |layer4_out_19_reg_1722 | 6| 0| 6| 0|\n", - " |layer4_out_1_reg_1627 | 6| 0| 6| 0|\n", - " |layer4_out_20_reg_1727 | 6| 0| 6| 0|\n", - " |layer4_out_21_reg_1732 | 6| 0| 6| 0|\n", - " |layer4_out_22_reg_1737 | 6| 0| 6| 0|\n", - " |layer4_out_23_reg_1742 | 6| 0| 6| 0|\n", - " |layer4_out_24_reg_1747 | 6| 0| 6| 0|\n", - " |layer4_out_25_reg_1752 | 6| 0| 6| 0|\n", - " |layer4_out_26_reg_1757 | 6| 0| 6| 0|\n", - " |layer4_out_27_reg_1762 | 6| 0| 6| 0|\n", - " |layer4_out_28_reg_1767 | 6| 0| 6| 0|\n", - " |layer4_out_29_reg_1772 | 6| 0| 6| 0|\n", - " |layer4_out_2_reg_1632 | 6| 0| 6| 0|\n", - " |layer4_out_30_reg_1777 | 6| 0| 6| 0|\n", - " |layer4_out_31_reg_1782 | 6| 0| 6| 0|\n", - " |layer4_out_32_reg_1787 | 6| 0| 6| 0|\n", - " |layer4_out_33_reg_1792 | 6| 0| 6| 0|\n", - " |layer4_out_34_reg_1797 | 6| 0| 6| 0|\n", - " |layer4_out_35_reg_1802 | 6| 0| 6| 0|\n", - " |layer4_out_36_reg_1807 | 6| 0| 6| 0|\n", - " |layer4_out_37_reg_1812 | 6| 0| 6| 0|\n", - " |layer4_out_38_reg_1817 | 6| 0| 6| 0|\n", - " |layer4_out_39_reg_1822 | 6| 0| 6| 0|\n", - " |layer4_out_3_reg_1637 | 6| 0| 6| 0|\n", - " |layer4_out_40_reg_1827 | 6| 0| 6| 0|\n", - " |layer4_out_41_reg_1832 | 6| 0| 6| 0|\n", - " |layer4_out_42_reg_1837 | 6| 0| 6| 0|\n", - " |layer4_out_43_reg_1842 | 6| 0| 6| 0|\n", - " |layer4_out_44_reg_1847 | 6| 0| 6| 0|\n", - " |layer4_out_45_reg_1852 | 6| 0| 6| 0|\n", - " |layer4_out_46_reg_1857 | 6| 0| 6| 0|\n", - " |layer4_out_47_reg_1862 | 6| 0| 6| 0|\n", - " |layer4_out_48_reg_1867 | 6| 0| 6| 0|\n", - " |layer4_out_49_reg_1872 | 6| 0| 6| 0|\n", - " |layer4_out_4_reg_1642 | 6| 0| 6| 0|\n", - " |layer4_out_50_reg_1877 | 6| 0| 6| 0|\n", - " |layer4_out_51_reg_1882 | 6| 0| 6| 0|\n", - " |layer4_out_52_reg_1887 | 6| 0| 6| 0|\n", - " |layer4_out_53_reg_1892 | 6| 0| 6| 0|\n", - " |layer4_out_54_reg_1897 | 6| 0| 6| 0|\n", - " |layer4_out_55_reg_1902 | 6| 0| 6| 0|\n", - " |layer4_out_56_reg_1907 | 6| 0| 6| 0|\n", - " |layer4_out_57_reg_1672 | 6| 0| 6| 0|\n", - " |layer4_out_5_reg_1647 | 6| 0| 6| 0|\n", - " |layer4_out_6_reg_1652 | 6| 0| 6| 0|\n", - " |layer4_out_7_reg_1657 | 6| 0| 6| 0|\n", - " |layer4_out_8_reg_1662 | 6| 0| 6| 0|\n", - " |layer4_out_9_reg_1667 | 6| 0| 6| 0|\n", - " |layer4_out_reg_1622 | 6| 0| 6| 0|\n", - " |layer7_out_10_reg_1967 | 6| 0| 6| 0|\n", - " |layer7_out_11_reg_1972 | 6| 0| 6| 0|\n", - " |layer7_out_12_reg_1977 | 6| 0| 6| 0|\n", - " |layer7_out_13_reg_1982 | 6| 0| 6| 0|\n", - " |layer7_out_14_reg_1987 | 6| 0| 6| 0|\n", - " |layer7_out_15_reg_1992 | 6| 0| 6| 0|\n", - " |layer7_out_16_reg_1997 | 6| 0| 6| 0|\n", - " |layer7_out_17_reg_2002 | 6| 0| 6| 0|\n", - " |layer7_out_18_reg_2007 | 6| 0| 6| 0|\n", - " |layer7_out_19_reg_2012 | 6| 0| 6| 0|\n", - " |layer7_out_1_reg_1917 | 6| 0| 6| 0|\n", - " |layer7_out_20_reg_2017 | 6| 0| 6| 0|\n", - " |layer7_out_21_reg_2022 | 6| 0| 6| 0|\n", - " |layer7_out_22_reg_2027 | 6| 0| 6| 0|\n", - " |layer7_out_23_reg_2032 | 6| 0| 6| 0|\n", - " |layer7_out_24_reg_2037 | 6| 0| 6| 0|\n", - " |layer7_out_25_reg_2042 | 6| 0| 6| 0|\n", - " |layer7_out_26_reg_2047 | 6| 0| 6| 0|\n", - " |layer7_out_27_reg_2052 | 6| 0| 6| 0|\n", - " |layer7_out_28_reg_1962 | 6| 0| 6| 0|\n", - " |layer7_out_2_reg_1922 | 6| 0| 6| 0|\n", - " |layer7_out_3_reg_1927 | 6| 0| 6| 0|\n", - " |layer7_out_4_reg_1932 | 6| 0| 6| 0|\n", - " |layer7_out_5_reg_1937 | 6| 0| 6| 0|\n", - " |layer7_out_6_reg_1942 | 6| 0| 6| 0|\n", - " |layer7_out_7_reg_1947 | 6| 0| 6| 0|\n", - " |layer7_out_8_reg_1952 | 6| 0| 6| 0|\n", - " |layer7_out_9_reg_1957 | 6| 0| 6| 0|\n", - " |layer7_out_reg_1912 | 6| 0| 6| 0|\n", - " |layer8_out_10_reg_2112 | 16| 0| 16| 0|\n", - " |layer8_out_11_reg_2117 | 16| 0| 16| 0|\n", - " |layer8_out_12_reg_2122 | 16| 0| 16| 0|\n", - " |layer8_out_13_reg_2127 | 16| 0| 16| 0|\n", - " |layer8_out_14_reg_2132 | 16| 0| 16| 0|\n", - " |layer8_out_15_reg_2137 | 16| 0| 16| 0|\n", - " |layer8_out_16_reg_2142 | 16| 0| 16| 0|\n", - " |layer8_out_17_reg_2147 | 16| 0| 16| 0|\n", - " |layer8_out_18_reg_2152 | 16| 0| 16| 0|\n", - " |layer8_out_19_reg_2157 | 16| 0| 16| 0|\n", - " |layer8_out_1_reg_2062 | 16| 0| 16| 0|\n", - " |layer8_out_20_reg_2162 | 16| 0| 16| 0|\n", - " |layer8_out_21_reg_2167 | 16| 0| 16| 0|\n", - " |layer8_out_22_reg_2172 | 16| 0| 16| 0|\n", - " |layer8_out_23_reg_2177 | 16| 0| 16| 0|\n", - " |layer8_out_24_reg_2107 | 16| 0| 16| 0|\n", - " |layer8_out_2_reg_2067 | 16| 0| 16| 0|\n", - " |layer8_out_3_reg_2072 | 16| 0| 16| 0|\n", - " |layer8_out_4_reg_2077 | 16| 0| 16| 0|\n", - " |layer8_out_5_reg_2082 | 16| 0| 16| 0|\n", - " |layer8_out_6_reg_2087 | 16| 0| 16| 0|\n", - " |layer8_out_7_reg_2092 | 16| 0| 16| 0|\n", - " |layer8_out_8_reg_2097 | 16| 0| 16| 0|\n", - " |layer8_out_9_reg_2102 | 16| 0| 16| 0|\n", - " |layer8_out_reg_2057 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 2352| 0| 2352| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_3/hls4ml_prj')" ] @@ -1968,408 +341,9 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:32:21 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 14| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 1502| 5936| 101789| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3427| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 1502| 9363| 101839| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 48| 1| 23| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 12| ~0| 5| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 106| 306| 4182| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 461| 993| 26175| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 583| 2891| 44224| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 347| 1354| 21554| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 1502| 5936| 101789| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 14| 7| 8|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", - " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", - " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", - " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", - " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", - " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", - " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3427| 0| 3427| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] @@ -2385,376 +359,9 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_2/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Thu Sep 19 11:46:49 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 10| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 834| 2204| 33803| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 2992| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 834| 5196| 33849| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 27| ~0| 7| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 6| ~0| 1| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_314 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 39| 0| 917| 0|\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 223| 0| 6644| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 378| 1218| 14255| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 189| 594| 7131| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_289 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 798| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2204| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_225 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1064| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_339 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 834| 2204| 33803| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp133 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp192 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp243 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 10| 5| 6|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_163_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2077 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2082 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2087 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2092 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2097 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2102 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2107 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2112 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2117 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2122 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2027 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2072 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2032 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2037 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2042 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2047 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2052 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2057 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2062 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2067 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2022 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2132 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2137 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2142 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2147 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2127 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1357 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1362 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1367 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1372 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1377 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1382 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1387 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1392 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1397 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1402 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1307 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1407 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1412 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1417 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1422 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1427 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1432 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1437 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1442 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1447 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1452 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1312 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1457 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1462 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1467 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1472 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1477 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1482 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1487 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1492 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1497 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1502 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1317 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1507 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1512 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1517 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1522 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1527 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1322 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1352 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1327 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1332 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1337 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1342 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1347 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1302 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1647 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1652 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1657 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1662 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1667 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1672 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1677 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1682 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1687 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1692 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1597 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1697 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1702 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1707 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1712 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1717 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1722 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1727 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1732 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1737 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1742 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1602 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1747 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_1752 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_1757 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_1762 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_1767 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_1772 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_1777 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_1782 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_1787 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_1792 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1607 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_1797 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_1802 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_1807 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_1812 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_1817 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_1822 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_1827 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_1832 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_1837 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_1842 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1612 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_1847 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_1642 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1617 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1622 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1627 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1632 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1637 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1592 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_1937 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_1942 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_1947 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_1952 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_1957 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_1962 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_1967 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_1972 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_1977 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_1982 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_1887 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_1987 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_1992 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_1997 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2002 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2007 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2012 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2017 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_1932 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_1892 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_1897 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_1902 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_1907 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_1912 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_1917 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_1922 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_1927 | 16| 0| 16| 0|\n", - " |layer7_out_reg_1882 | 16| 0| 16| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 2992| 0| 2992| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj')" ] diff --git a/part5_bdt.ipynb b/part5_bdt.ipynb index 8ca0c90e..5a7b0cd6 100644 --- a/part5_bdt.ipynb +++ b/part5_bdt.ipynb @@ -17,21 +17,10 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "id": "eda9b784", "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Could not import conifer xgboost converter\n", - "Could not import conifer ydf converter\n", - "runtime module could not be imported. Interacting with accelerators will not be possible.\n", - "runtime module could not be imported. Interacting with FPUs will not be possible.\n" - ] - } - ], + "outputs": [], "source": [ "import numpy as np\n", "from sklearn.ensemble import GradientBoostingClassifier\n", @@ -59,7 +48,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "id": "1574ed18", "metadata": {}, "outputs": [], @@ -82,7 +71,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "id": "00f304bd", "metadata": {}, "outputs": [], @@ -105,29 +94,10 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "id": "f5044231", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - " Iter Train Loss Remaining Time \n", - " 1 0.8801 6.81m\n", - " 2 0.8163 6.45m\n", - " 3 0.7776 6.09m\n", - " 4 0.7616 5.78m\n", - " 5 0.7526 5.40m\n", - " 6 0.7458 5.04m\n", - " 7 0.7403 4.67m\n", - " 8 0.7359 4.33m\n", - " 9 0.7314 3.97m\n", - " 10 0.7249 3.61m\n", - " 20 0.6992 0.00s\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "if train:\n", @@ -154,25 +124,10 @@ }, { "cell_type": "code", - "execution_count": 20, + "execution_count": null, "id": "5bab868f", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Backend: xilinxhls\n", - "ProjectName: my_prj\n", - "OutputDir: model_5/conifer_prj\n", - "XilinxPart: xcu250-figd2104-2L-e\n", - "ClockPeriod: 5\n", - "Unroll: True\n", - "AcceleratorConfig: None\n", - "Precision: ap_fixed<18,8>\n" - ] - } - ], + "outputs": [], "source": [ "cfg = conifer.backends.xilinxhls.auto_config()\n", "cfg['OutputDir'] = 'model_5/conifer_prj'\n", @@ -205,7 +160,7 @@ }, { "cell_type": "code", - "execution_count": 21, + "execution_count": null, "id": "7ebf5b06", "metadata": {}, "outputs": [], @@ -227,23 +182,10 @@ }, { "cell_type": "code", - "execution_count": 28, + "execution_count": null, "id": "993fef56", "metadata": {}, - "outputs": [ - { - "ename": "AttributeError", - "evalue": "'XilinxHLSModel' object has no attribute '_ensembleDict'", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mAttributeError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[28], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mcnf\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mprofile\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/conifer/model.py:358\u001b[0m, in \u001b[0;36mModelBase.profile\u001b[0;34m(self, bins, return_data, return_figure)\u001b[0m\n\u001b[1;32m 356\u001b[0m \u001b[38;5;28;01mexcept\u001b[39;00m \u001b[38;5;167;01mImportError\u001b[39;00m:\n\u001b[1;32m 357\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mException\u001b[39;00m(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mmatplotlib not found. Please install matplotlib\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[0;32m--> 358\u001b[0m value \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39marray([tree[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mvalue\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m trees \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_ensembleDict\u001b[49m[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mtrees\u001b[39m\u001b[38;5;124m'\u001b[39m]\n\u001b[1;32m 359\u001b[0m \u001b[38;5;28;01mfor\u001b[39;00m tree \u001b[38;5;129;01min\u001b[39;00m trees])\u001b[38;5;241m.\u001b[39mflatten()\n\u001b[1;32m 360\u001b[0m threshold \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39marray(\n\u001b[1;32m 361\u001b[0m [tree[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mthreshold\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m trees \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_ensembleDict[\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mtrees\u001b[39m\u001b[38;5;124m'\u001b[39m] \u001b[38;5;28;01mfor\u001b[39;00m tree \u001b[38;5;129;01min\u001b[39;00m trees])\u001b[38;5;241m.\u001b[39mflatten()\n\u001b[1;32m 362\u001b[0m hv, bv \u001b[38;5;241m=\u001b[39m np\u001b[38;5;241m.\u001b[39mhistogram(value, bins\u001b[38;5;241m=\u001b[39mbins)\n", - "\u001b[0;31mAttributeError\u001b[0m: 'XilinxHLSModel' object has no attribute '_ensembleDict'" - ] - } - ], + "outputs": [], "source": [ "cnf.profile()" ] @@ -260,7 +202,7 @@ }, { "cell_type": "code", - "execution_count": 23, + "execution_count": null, "id": "b9fd0fee", "metadata": {}, "outputs": [], @@ -282,29 +224,10 @@ }, { "cell_type": "code", - "execution_count": 24, + "execution_count": null, "id": "3a87c1b8", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy sklearn: 0.749132530120482\n", - "Accuracy conifer: 0.7489698795180723\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "yt = ohe.transform(y_test).toarray().astype(int)\n", "print(\"Accuracy sklearn: {}\".format(accuracy_score(np.argmax(yt, axis=1), np.argmax(y_skl, axis=1))))\n", @@ -329,21 +252,10 @@ }, { "cell_type": "code", - "execution_count": 25, + "execution_count": null, "id": "721814ef", "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 25, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "cnf.build()" ] @@ -360,24 +272,10 @@ }, { "cell_type": "code", - "execution_count": 27, + "execution_count": null, "id": "578a62c3", "metadata": {}, - "outputs": [ - { - "ename": "UnboundLocalError", - "evalue": "local variable 'backend_name' referenced before assignment", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mUnboundLocalError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[27], line 3\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;28;01mimport\u001b[39;00m \u001b[38;5;21;01mhls4ml\u001b[39;00m\n\u001b[0;32m----> 3\u001b[0m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mreport\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mread_vivado_report\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mmodel_5/conifer_prj/\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/report/vivado_report.py:16\u001b[0m, in \u001b[0;36mread_vivado_report\u001b[0;34m(hls_dir, full_report)\u001b[0m\n\u001b[1;32m 13\u001b[0m top_func_name \u001b[38;5;241m=\u001b[39m \u001b[38;5;28;01mNone\u001b[39;00m\n\u001b[1;32m 15\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m os\u001b[38;5;241m.\u001b[39mpath\u001b[38;5;241m.\u001b[39misfile(hls_dir \u001b[38;5;241m+\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m/project.tcl\u001b[39m\u001b[38;5;124m'\u001b[39m):\n\u001b[0;32m---> 16\u001b[0m prj_dir, top_func_name \u001b[38;5;241m=\u001b[39m \u001b[43m_parse_project_script\u001b[49m\u001b[43m(\u001b[49m\u001b[43mhls_dir\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 18\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m prj_dir \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m \u001b[38;5;129;01mor\u001b[39;00m top_func_name \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m:\n\u001b[1;32m 19\u001b[0m \u001b[38;5;28mprint\u001b[39m(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mUnable to read project data. Exiting.\u001b[39m\u001b[38;5;124m'\u001b[39m)\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/report/vivado_report.py:49\u001b[0m, in \u001b[0;36m_parse_project_script\u001b[0;34m(path)\u001b[0m\n\u001b[1;32m 46\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124mset backend\u001b[39m\u001b[38;5;124m'\u001b[39m \u001b[38;5;129;01min\u001b[39;00m line:\n\u001b[1;32m 47\u001b[0m backend_name \u001b[38;5;241m=\u001b[39m line\u001b[38;5;241m.\u001b[39msplit(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m'\u001b[39m)[\u001b[38;5;241m-\u001b[39m\u001b[38;5;241m2\u001b[39m]\n\u001b[0;32m---> 49\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124maccelerator\u001b[39m\u001b[38;5;124m'\u001b[39m \u001b[38;5;129;01min\u001b[39;00m \u001b[43mbackend_name\u001b[49m:\n\u001b[1;32m 50\u001b[0m top_func_name \u001b[38;5;241m+\u001b[39m\u001b[38;5;241m=\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m_axi\u001b[39m\u001b[38;5;124m'\u001b[39m\n\u001b[1;32m 52\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m prj_dir, top_func_name\n", - "\u001b[0;31mUnboundLocalError\u001b[0m: local variable 'backend_name' referenced before assignment" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", From a3ab35f547a02b471908891d4ab2ac43e2356028 Mon Sep 17 00:00:00 2001 From: Sioni Summers <14807534+thesps@users.noreply.github.com> Date: Thu, 26 Sep 2024 19:25:04 +0200 Subject: [PATCH 03/13] Update conifer/BDT section to conifer 1.5 and Vitis HLS --- environment.yml | 3 +- images/part5_floorplan.png | Bin 0 -> 552249 bytes part5_bdt.ipynb | 412 +++++++++++++++++++++++++++---------- 3 files changed, 301 insertions(+), 114 deletions(-) create mode 100644 images/part5_floorplan.png diff --git a/environment.yml b/environment.yml index 406c5a43..810679e4 100644 --- a/environment.yml +++ b/environment.yml @@ -18,5 +18,6 @@ dependencies: - pip: - hls4ml[profiling]==0.8.0 - qkeras==0.9.0 - - conifer==0.2b0 + - conifer==1.5 - pysr==0.16.3 + - xgboost==1.7.5 diff --git a/images/part5_floorplan.png b/images/part5_floorplan.png new file mode 100644 index 0000000000000000000000000000000000000000..768d7374130243a02c13282fad2f1d4d64117105 GIT binary patch literal 552249 zcmc$_bzD?m_b)!EfC`9!f^8^h~x&aA!nT5IofX6+U46Q!l0NOGO#ItTo|}z?P;uLZM?MH)RO)o`NcyTO|d# zxwzL)8-B>#)-}3-u)a?<;sg^0M!`23OV|`QHS!zTrq+y)2V_u&#U5 zDMU;=l=}G!vpMHi>g%R#U+u298tzk2eGPBA4XWWvg~3mtXt*q}xBn)zaZ+ zUEPX81uLKG4kW++_I;gr$CKO7HJ!NP(Hyr*bmVIzqMxLX+UFRbCuiOxi84eKhPO(J zR#FdzO+^ioTn(MN`IAXoL%u0dQA*ga?mg&7W3|*d|%I#t2aw z=kh(PO-74X%QF~K?gZa|m{U9k$-cM5c_LWZIkmSGJSziC zo;}==`f;5Uhsz;)n=4yC(WMU_=XgJ(6uYmIK-y0H`m*R%5HV92FNqq#6Pc-n*&!n6 zEh*U`qPt9&uLgxCQqv`H!pS-;c{r~?9^D1`$gQB)+Ae8g~{+Z!*t8 zg0Jt$*L9M-WSk=+jZg$ny?e#JFZduHj(uJ7`o8-Wh|Ba_Pm$7^>V&g-u)W@(Xyj>no@`v&Coiw1! z4qY@KMR(JQNvoiHP%xQpjYx{zHAB;BAdDhDr%LwuoBPAxLL;eU8z5HR2 zUK$$#a}!lVY-!;w%{|M})5%+UIUNjIB;Wp}JWAO0nQrPc^1)@XC496D(^dQgrW<#I zqXf>?ntrf7 z(IaA*u3pP$c9&-ql;t7w`$qkYMOul8JnkElvJzKfaOBN?fbsG|r7KD7ktcDM`b_TyPTcjO^g?HUohCQ8fQ$@Rg$@`gjm8Io%v>f|}&D;AAz z>ZZ%49n9X6KfabM)gE*rB>V}@;~Re(9z=LEVq;}k?~w0a%eivn869(|K#JC*QYJ@M zI+l0ggE~~p^a)C1Y{nGx%eCq9{VYG2_}HsC_L*~-JZ~~moGaf=lwaOERW=7&k4-+Qdcnj=kR7nG$n+8+ffVAY26jC3ULCESv>max8O z&0QSj8a475sWx6@tlL)hYK&)Fa+}7U{^b4%!%6I$H*YfDM5xrg(Xy`YrAcYy+R$St z_tzWOsn@lxcwefbpH}K@D3$MPazYZTP+Nc;PP9YTvDMMc+?%;PLnzXcSxBQ83l&wY z(y21vdo!cz@usC%LN%bkH{Ul5g?FO5-9~eZgqx&+z|gWmp}6Z=Y!(eB(|tfYGa<7% zGg`#UK4)@wg2nEM9lrfR{hRuR7uh4eYTcXb?xNX>xtfbAV0HKiyS<5nTU~8kU!9jj zqr=(Oi>;>3yPImGWn<#+N6VtOjJI6f-Q3f*_b1!(&+XEO1ttVKK0N-q9d1T_YVOjM zlba(0)pLno@MzOS5o2U9j+iwR3F?gG<>iN=FG9-*Xo)pwk8eye?2Eh;YjsCpm%WI! z$^OZW>gm{+tJT@jaB=(zvL#zh%3C#}8Ikhb{^uDz7oaH0213|LP%KLVrK0k(@ zeYhhYBNAhvkXx2-7g;vuUTgPh%U0N-*1MLaR?6mVpln_3$o(i~op(KelAY3$*T#6v zcS3t&s->ENHp1`7-dPrWbics&n>wLBVX9oPT-8@OMYHag-7MV% z-D#}63heQw(ucd>T{NmS#5GK^_v1!rZcCKE&>JnC{NedSazkaqq0p`{RAG>M{sAf_ zx-I&%b!Z>2VatxuBz`q-wZ;70{9R`cXQ_S4{jz=ieX)$0e$Msh>*Ay#5wLE$_(BFo zsd>pGsWU(9+S*deUj1l=U(Cs!j`S)^2EQyHw>B|9FO-14PJk%V9hHf44X6lUL}r{H zU>cB8meVygMxTuMG1+J~Yy^6-R%*I-isRCUprxRZpi`Nu&xp?+!K5MmAwnVcp{NkE zP}?iHSD9bG4&xzh?h*X?>w(C-cCE9aC;3&+%Ab9Fw)2G{Y&J~wOIBBbrCfLQ9Y6jr zLXU0@-@L{mp1{olSA3$V9wkAp9uxPbIwp`tn9Ihdf7-}*w`eN+Bm5_NCADilwlONL ztMP6rN73D}yRDkUN<5mobYiY^m9MChh!bBc$MzJhzFu|e!K_^FhSDsi{LIuzbhQjQ zd2L7WL-T%my70l&-4o?GWkQ{6Wl?1hbzv`Ao5$y{_ce_5jTz$yOa}Zq&Q_qSXIESC z*FtQ!7Pi*5=BXE`xrKa%0))AR{K6cUC6+5H-&V#xf!DIuHrkS|-d*4AVcU4W&Om7w zRr9ziDV9SsYmT{rDlPJ1iMPfRkz!49_UY7!RrT)<{gdI~Lnj^DE&h=Rd};g?e8%_e zYElB~ZkaEPXWc(-MZX7g6LX=R2&6;B3a#I7_4^1j{&+qxBT1W)E&9ni4*BkrQ^1?ybF{ecJ3RAv zQ3Y8{)%&iOtGAtJowxnhPN~HtTH?dq0OfO?#$wu3vb3nwd87SL{)){uKF^RVw=E6F zpjMSUW=PNRBK-|+Q!df!_tmM@`=%7_?_X7Q&R9FZD*H^m+D2fmnkmo53(C)SkULPv zU$a_`!!InSyEL<2XE{6FSTMD4(l`4U)>QnoZ?x)~$so21U1gKi(NN=L(HPy}wI4Y> zY`kKwTRZx4W5l@5z<9Qn+j??s9`6(F4&AmWe0W~BfoeBpKcJo8V=)%Ls_o*;^f=uq3QIosaFqQNE!R6ZA^`YJQ039!Yy0w{9T6Ql(ST@U@s# zy0|$#JpQx;kC>UC+2L=tuy5Uc)m&z&)_uU;Zm3~S(&W2NvoX`TLpJ%)`P*WXhwz%s z1~0a1e^$KFV*Xy!5psXd@3mj?naIAOq=3H`CSs4#PhwsoUW(Xz>Xh?zc)q)}n?Gk& z3OS;WPCl*snbA|5>AbODC?zYEmKzUQIC+Hr>E_atn+Y*!tMl>s#Seqcpt?>x+7er7 zyxS15*sMJlvxSCZ#S^f1+WG#``3NbB(bL~5Q1blV!D#KEF6?c4CDQ)9e#>O4(eHBe z<;%~jUvuMbe|{=AI*RArMh`M32e~(a3*!uTajW5+rGD^AlmO7B&#c@tPGrW zEnTgxo!wrzxPw2heFX^DUMf9t1A!>nE)G0pox8sP{u6e3M(#%HY7&+%PJGX-T%KF= zc{{zl-~*EMmH;lDtlgh6c{@2eyGeLUvHb2K0bE~@`B|8LcX4-+Vlh(JVv=)lwPq6K z6W|kIk-pBv#3bozWh0>@ukerIz&9zD7w+yaCHVQhyuA3lg!x=tZTSVo#l`srg!qMo zc!3_gZa&WL&%Ak^-B|xH`6nNFYd1?*yO-{EF3wCBe4jmc@o<-7VYv|Wug@Pnt-bC3 zlH~06k7)rDnwd; zlK)@#O!|8Js?{^#HqzP2Yw7`K;32#C;B^82IRBi1>&t{HUCoLkAdn15SzcDp8*jI5 zKGm%I6}xx2%&iAflblALecK#%`nOk!v@77o0m7__fpHm>kU2L&OYOkdsios$D|S$k z3Vhcp>ip}jgSt~SlA_8Yc+mD;ky}b*8HgVKpM7)9L}79_!C_N(h1w$#LPzhW5}Gw9 zu(Pwh4Nn~HXH1OdL5x-|W(G6P4I>jd$-_3EL2~sXpGKBKkIUP-2J~^aalJ4a$bGph z#Gfe$1_VQ=^~QqTHK4EBG9mWZGGxg*V-8wL@63K(qZB4f)hN0T(V zf-!hJ3Zo4JGn}7?QYPO~c2}e6N{tuftNmfbU9utHFFHpua~x;0IVbGsP+v1C-{bUR zI#OIg*gehEHTr2Yub=w2T|33tp~mEdwH!r_nsP|2jDnOlk;@zFn*(B*`JYyWvdR&x zDhx?6zASMQ_lN#;{T~0$N*_lP9OGL+xp1qGDbwY?oL7&vsQ!UsvLrk8i9B7ET^?<| z%RSb<1V-_ma;(TDfXLf=FID#b;*0S8#6HLJpYfbNYC_vl4~$1;#2zi`D5SE2T@&>& z4p#u8v9~Ty=!^DY;(4~TX}wNH?Zb4dF^X4p?}MFRw-?9oCTGd0AS zKY9NdWC`>%sUvU4c-sKE@v0QvCy5s#|61pd2=zZA)^fY^5BZO-TqP${*kk!fdIBVKhbFk>x-&%kZPCnmBlpZ7EPH`qMYBkD^E(*02j;SjTLyq!R*2058ke# zhDIOv{q98vzr%+*aln88dd^rZ3WwZzVG_*CIsC+PR{HH#6RlptsYRF#htS|G;Z!vL zth5;|2o-!h6)mb>&X;R4nYZ0tqXl`r*)!StU2eK%dXU1QuuQuBn^U)ku>G^DPX-v( zsk_d+3Xw5AZ*VCCusx(~8g90YzvbX;rZ4~}r%nr@eWG=U@THm`0TXEniuJ~TMZBiVOq;OI89+}{IN`-$qoc^^q~)i*^A}Fbs;U{)XcEi zI}z`ZI8&-uDCC-)gPBz6vfozY?BXfrtF0c!$oTP2Bf1f(?bxD^l|mj&hQODT$h8eP zjpD%r*EKs~htMvI0k8aW7sJ%7IEP7nN!Cs5g~dm)`O7vUQ8X<0h>YKLH*4- z-Q<2+Tyfat-0|OAMVCb^tY`O^{Q&p@$boBfwJhS>D{}git1}}X>#m3gzimI`;AlXg zVf$FFy^!a!TO=d{cAfC~hR%(7UFeHZqe2qLbC!APZw>Z)zE)v0FO>+^WJSutGOcLr zJevlVHO_auiEFnPvGz9)sPXWJn5m5=+2)+UrO=-iNW&HAI%CFFEAt`h4qJTPy$2r? zRP_XLy&aF~T%%5A-lxz`GgH@T=+;x`9%uF35JGwBO9d8)ZA>0;JmTs|yt606ejJkv}_k%2FT-Ib_z zT6vSVV=68zu}s5Wp)ZIMjknZ`$nK(?Z#v29aJNO>50PIaDd1JIFBVHsc)R&Ywhmvp z>X?1xDtUldWCK%K%D=umhl2W8@SBg7j6bJ{ zxOJg|(hq8#9``>bHaM|3fOs4YGT5=KxL6PfDnytizFsEtgvsBuq&NNanq;o*if(p{ zZ1usJeLT45vokjBW8~Ni@+X(eY?k(U3MIOFJC2P}N({v>0_Km&E(hTH*bDfV3y~QE zG^V$nmWK*Pjzct81Ou|)8LdwRGYkK zaP10w&8tmtEsS}h-%GRI;T#8rHYE?MG(qeqC5H$@mz!PYx)uW8v@jc>QiLkc%4W)$v{!*#8_-gLgJ= zC?vQ^3U@eUP^GpwKa?QAs3tj~+D?*7+Md2V#1t>&yi9oyWnNY{89Hq>@U5$Gvm3R) zb_%n9LNxKC{U!=q>}*2E-vvI)HM>)e&2>U!L>TKRjzt)2(oXuD_oF8r;ctrfa8QP= zAB&FIod~#Q_aek^1t*NF-yq>gWA>m*_vP~npmIe8FbUkf%(Jy(T9JfJ+I}+^@ zz&XzPv7S6Ff^)UooP)g%)37IBXJoZBCEDp<;~=75bF!bPYfeFdHFw%BI4?ve6J)O+J-+~&)1 zZy;G1Nj5H=BYF~10qh`)F8hcTA{A-ucR0J$-FjsAjnD4rhm+vVhLscsocX79$l>FM z4SC6h(;_~wItE-drsOoo%}KXH)Mu7qq9p}?O-j%P_c8CvWb2O)z1U>K=7_`HI(muF zJqOU$EJCjvmxv?4i39qD<-en|E1u|zi{d_ta zod`KSGQ?gwQFIO0QCxusVqxxhON0KmVX(lGPOqY?JpQ52w1nj;2(!$SF}TWh7+(kC zybtev76iAXiR*vvgt zX=<=}u8>n~S#lG&C+{H$3*3uBTQSo8&D%0HkZhH^S`=j#4AvYe4GM3BUCi_)|kYIk?ry@F7lnD3fHVi+Z^N!X8wIQ1K zQqo(~arCS+#<7pFtqQ!_*q-Mf)($@9j!$*=y&gfx)|&}wxf~u(8}*|XUEyW4nIOAT zV%^R$!ipkdS~GN%J}D`IT&9i-jk4DN9$i6Wi+84{uiZPJe3 z^j%%p-|;It6T`uCaryKRmpP{iG92^EP*sA`_TgJ>8o^+Prd8@QmmCLrS z;jUQLf`D{HF!R9l#EiB+|5APYq|Xfe+F5hNN0Umu+JJLxZE#1$%ax2mRHJ~Al2kRN zf*{KqyFK1W2c-(m$Ga=P?vx@nES}{CV7J<+HW|;gz4|0^xFto`viv4#+^=jJ2qhaM ze(HB#mbkJ?blOu>Pjnx(!t8zAYHpj|v}NU5W8iVz+9Cw`tIZA@a1y#WOS6vRw>Yz@ zt3>$Sw8sv-V@f{CrQv-d|6>DeeSh#iZlt2W0oY@i?p{rSe2>&Hz%HLfgco<^a&$+F z15d=Ri{qW#z8cNRZD=-(&rfZ?xemX0s(MTK`YQ1nj@_1XEqV^I3Cm@GXlgh|2RIm3 zyJEYkd-+I|KB}4*uL(=YDLcn!)4Ujdp(TZi;%oMB^6ae%4*P_HB49O0jvoHY54&^U zQ5X}yrITyOyLAFX|IEIBeY@OUMN!y#+2AWTEsnAkh0VN@sCY=FF`$@@=4HpoWdTp- z!eWn9aw~I5JF0^!F~%=z$IID>q92by>;``dgm+@Ex8QNm&1R2j{jFr{>@X9O6dcW! zEJfa1G!05x`g{v5>3|{&U(61mKq`dea&ec18oq>++B&0&)|)=(;^cSJfRC?m-dp`N ziEA>@1u*w-g_B(iT#r!nPqc1ioxXB)1}fV=?-ucweJM9}RWJ+7Z+R z#PBPhTPQ~ELjt%eGF{q`?WXLoSo~tYS#SF?e+Cr2j^ngtm!@e!ZEcqM#YxHLAS~-M%yqFI^z4f_ch)7 z4kG$rox7qthwx>K^~w*r6BKT7;~sG32t}%^(g_=2pBcHj-^_0y?s|GG;EKG}z1SFt zp?!!AM1IeWYlIEs7n@(=rr(B5TFKs<1!IwnTZFQX*!t{XTm;JTxFWIdhg#xql!GIrZyxQ-uY_@0bhA8!h>; za+jPf$+7d@>+ZbjcCMB-frH7YV60HYhWu>A@p3ui6YP{#R!;ud-qA8~F}2|vs`K;n zlG>W{JfyWjzp^*+_I5?VEKw~EGx}sYKns^{T%Dfu>(1D?;p4_}I4w$=XH5{6?*D9rS!e?inZ{1-cS`ekAH;eWJD9 zd%U|_t=B73Uf&f~|DE8A@3mxe2!BB~C-X+9Kvp(JByyWQA%?`@B9{oJg@~=?OG=1I zBAUTYZsJD1sy8ZVAR@Q`3=;QC6XJ;U!r8*lq9*DhT)yL}lON0Q`=nGI4t{x-P>McB zAq`>Rx0<4@3?^d;xz=rj;n83p7&j+*{_r8z*FaTcXGW<(N{Wox_DW;?s>c&oG-fvx zEPCFdI7tH=#I3BrGjY4SlkBhZa`-vhNLklaj{CWd%dTwcf7`y|6#amW$fc&9lAS@Y zjAFLV7jRmk=viwteft_w@1V7;IK8pNzCHTc>646hd+f9aw)v!ipA#3&!)c4OB~rNt z?@(;ddzZ)~>wty}VH2i!L-S8-x*%PasYNLe@?2n+*zGf1UjtTL5>pj3=l|7}k29cP zHmC24&N@yLg6jSVoo9m@*FU65 zcyv?h`2G8itJhqWygrkNH)W}qhb*1`w^H`jCrsc|7R3zyoVJ{P9OaSjgmZ6YH;IdG zUTG8)AyH6zE7x+_`FqE_-XZzFO>q)~{5t)V3OR%D0YXajhw;~P(8b3n`T*2B=mzt! z&4YfK|Mr>x&#wFbCY>FL@j{&i8t51X{~SAtYw7%?V=Y_Oy{IXTi1RT|6HX4GHHRZk z6Z9q;A#E^>bPX;EGUg9yyW-FCcQl#Y!C;BphzAM87#I3WN zj$=~CF5}4*)JD1YO1#>l<}D+<6!h+xC`orBN_FssveZq`U5Tv!_`r(yS%5p)2pM5K zPQTip*jW?xN1UT`@VgofQ(pzu?O+fSRonZHdpqBXZR?5{u7ZROWW0{ds`Y$IQXOr*-m6Y zZ^ss|X8$F(TSM9FFV;m^h0SD-pCiJ?I;2-X;+`rkf3dlHBKGKSI(^g~`5T)u7@5Rh zYZsTDxc?U$WlZeQUsH44O?Ll_jncNu>%Zw{SyJ@yFE%<5VwW6jcA4j7;Q|4>Es=+| z9g&LmUZSBmHt>{cQFI~p;iPENhUw_SsRMP&r+GcNO2^YzF5&5eCvRn$DP47kKoI+hch>OGMZM$ zT{(%Y4A*kQ?-eBAy(ul%Y`idjcd51!RB|2RuVZ2Yk7YvZI%+w;I56G++3{ZIAMNIE z54^n38a>hDauJnmvrI$aWv=8y(*zz)NVzR%<6(rEnbvyvuCz3&dgxew#iB%4%S0<= z0=YZ3870kNK(o(4%I*G5(RAWNU}SVd3PW8D+T@`3o<8`tgTv--KH$x%&KPw8dDmeC z;Qn|VMI1hzlg;FQ_;-)@v5@ zxNQU+7JreWf%l_=9gyri)Vz@lS!kfu=M6!myvS7=f8$7CLgSq{iScUD!a>gq}S zNxeniB1DlxQ33qP4|$ z=FCYU+2N)xMQMT z=|B|5L$>U&t9vhBQbjiC!Hd@qy*TkA#Uq}!Uq8rwoYxMC#nmrDQu&qMJ~@o6a*H5; zT5OQnQF&kpgH@y8(0-Kspb!v4j!9czvxDc3dG+^ZC%Mj@KqT@YB}6J8=MhRqh#+Kw z7{_69qDEy%6tP3AL~^C!hE8-vusrc-ObXstk@xJ+`Xa z!8TbX3An~%;^x)GfKL&w%@n)&lFuiEliT&txi&z$nl0BJ%}=;c4tU0~V6eG#EE2Y! zyYUc5w}6SIhj@_LLF5x_-?r@S_1y+t8a&CDEP$|R`slx0iQ{{ic5XqHY(MVD>C-2i zoXWfF>hJH*vgGg0IheRXX@B=AsPIF0eeCqq#~0W~93P{o1Y}P5$(CN|p{+~5mwrfp z`INJe&V2K(=)old0S1RbRxC=3A^Yla;^f9K?g)z=2j3t~JR^^>&Bg%@1K_q*j|o_t zs5}}2L`-A8XWIKrBkdtEDE-9B(@#EALq(BAN_LwJ{_18VhaZPY=HIxwckn05A8*;-WaM{3ZV zpCF+r`ld!hzFz2e_Ac40OAFEBvVr#Jkhad_{vm{&dal2>sGvfYH|g5O-aRPm#`4)3 zgNpbP@YueAb>Azr=G1@PxE57G;q@z(jBWCYugP>lzFr|g)*V9TAV2=~E6Cne97;@O zkT`Z&D1cm2RM5O(3y|@|!759-te?GWn*1%$E$CCfL50}gzMEj;|Iz=4(xv9J=Qo;e z;{=W0Yld{QcSRPNAC~Wkw{h!`*41Ez%?7o$FL@Qh^OLJAwD?vacY(lh+Ltzfl+A@Z zt#w_II`{C+dtG0*C!tw}F|-m<0GtkOd7J$24Mw7>C|p&p)gs?$O4xbw^uD z&P-1#woW#gcj6wu!7b0a_T)?U>~uuH`!tMa9UM+)aMZQZ3T+0+T(Zq>TooAeacvQk z3lkfFMYu@bI&bFTv>0TUc&#MYQajo7Xc6WULKnn+)x4IQL|O?t~IJ<;ex?OK{WB2r<bEUCv7^tRldSEpbvAYZS2n*mfKaD6fYnG3b*n8U<4e$2Bf@wMpgyN*2NoOJ{fgIqzrg`|WT4bp0D=Hu z5)7}M{RRP)vVeFRt)Wq4mbRQ>_E3_o3h&4F{zy)FT=kn{;c|yyX1?3t2kY^L#5Q&n zXSshTSkh-LES3CXx;Ra-Tk*@} znHJVKD{){C$UJwW@1(BJtz#7=q$LuBvuentq}cpOUroF}-yBPoV#gOn$V%QF-PHi9Bdn)1z4R_9Gs~ax4DFxtcGjN{a zI){V&K4$BSasi~pbmcdsC0;b&ThYP7UQ_`49ZmwfrDooZd(f@u)(Xfn>;_*8?_3#2 zU@R?8ZZF`(G$9T|%AfFbyf6lQez%(&`Oh>mtIxFdfz;F@WpheNYp)+CNB5#-bA*nV zpHt*S<8cDg)+apiJsUGaE}hBOK>N|WG0N^rs*ha_09NCqgT4ID=ySy7skCbBJqEJI z-}nxMN!%+X7XJXOUna#c)0Vaii0C?Pj>W}pXM&W)!41NP$FbPWc1xoXW_b_$!vG&ni50*9{>7i05JySf9fIaZ$S3e?OacJ2nqZdvyBNr}te`*;!> zo7%D#qQ{++zOU(1Y}u+?)-S5H$ouFTNa7EAqjd1L_GJK0VnbWXI0st#g8~UhjYGPw zT^(WV`~&Df`~h_6qor;v%44_uKpql4OhhWPT-d~yDG-V6oKD2~Wgn-=^~HcET0ag+ z;rYiUt*Ah4hMPr(>myRTq;w#Ep*!wos`K{Pg9iYXM|)MqUdS>MfiDIsfBPGF5{?24 zM)}q%XD!JXsWa&9ADoID2CxI;?ykwx1lxpSpznY5$PWZekiCR>ujA#DP+E}HAJ$r6 zKXrMLY&z?PU{nVQNa!E)%WY#X$kd1vnw7yU5r8s?3Ct#S#Ks2ADYVr&6f>Oi0$*MZ zQu_^Yq20EHMwPx#Dg>4Y+=M6dPXtZvq`cl}kM^GxV*2L_{k!VQIEyFvah@P5N^Yfi zVU-RH_#Vxtg%ur-F36Q>`ixs#A!O{KR;lj;ugT4X=xnb!$jv3$a(0Z(w1`OM9Qyj& z(0dJtYUq(HsZWs`8n#cH*3I6zN9oZS_MNj2%_K4%Z9UsWd40T)9{+3j`)4`Z0;lv} z-=yb9p|Io`Gq}_n72+XQ*B%M0dECj`?JPdT{ZfQaH~Icrez-Z!mKp9*{&mWVpKl!Z zJjQg2@f}MQbQ@=#3n5+f?>-vLIh5F69OznDP;(ief_>mRg&DHuyRLV=^d>Xqa~Kcb`9< zoPy=P7>hWZJHkw(28ENI8x*{s+yQLeJ?KZ}9g`8 zcIZ-a;KfO#GVd?jOy{H;J$znp0U)98Ud-nK0{#z&484ney5=W{m9$nGk0*LqeJqf| z3=rbA{Zw2GeP+C70sJj$z`&^CI$*r2MPXO2{E|aIIFPhhK|8*tJw3U@apRJQ)R_`x z_;%D}_}l@0@kFFL3fvNKckpC-@mK$2dp z?C}6h1lK-y1Z5MvzS_9vF#z*G=f57J3%1vZzJQ^e`yMfx)3QVunJ`Ral3T9U;MlrX zmnwi15ah5s6(S~`iobRjcl@lX_ftvZqvsUnJe<#H*N%zVlqM25-+tg%lV|!*hMy$Q zY)d8UosHZac%;+$e+xz_t6Gqo-bP6!$BWBF1rG7t-DUCnA4A3b$6+eT#&dG_qGhF& zx}=g_f2yk=k}Jm zFT)IdYGX47z=9dwyQ{=^m{96O(({!r^@6pp*x8TLv)|!emUpnrI31GE>FBq~N$9RDF^ z%^AmuhV%<(2U-lZ6yT>pFL-%J8H^RV(x>|=l6?9#egxqa)Yqx`y`V39Y9p+vwl4S^ zEi*A9w3qKu6p}F@`OkS6tM=7JOe9>l5k2~5#Za3h{J$_8C`xNJ#xCbe+Gt|G!u$L< z*Ook=o;gnia~i7xB>>eE&ASnDxZx)uGUJeQJEnvcBcA6HN7eeJh@IRMw%0j3)Gc** zvi7Y&rMCO3T7j_O6W-qwUt@ zFOy1HIMj>rO`k~EDk;i2{bCxP0p1}NO#f}P;593nK**iN$Js3yMK-R=;1<(Seqgu) zKm1Lf{p}UF0Iro=98ls_%)2mYeeqy=x0YvjWm`N907gxJY;76_bJE(K3;3L3F;-Hn zWw}z)X%bdI+R7T*%jkI`mAp*yZs*IWGsdeKC}FnMdRFmBM+UN(MHN&aA{DNt`Ui!7 zy(QzX+5XrG@3(5CmZh=86oM+>dQJz{e+_s!6+{Xrmj)_R^8#=fKttv3#bp!lDf%mQ zFEgB>S1LKP7DyYGp&i68z;n4Mj6Mu(iFFh%j7n#7;;W}-Rl_S-PYSxg-r)Eb4{*PZ z#el?JaA8{IHXGEFxDm*Tbu&w0tEG|&&vA&-c{yrZ&Us5Bm54l>8{00!D@m+mex5xJ z>T{}!O6UbY+)C0Q=F5_MPyVcz6{A5!1Uk4;o)jdpY#w*Z6 z=-Rv8*=ej8l*4Kd*NdaUiQs@*TS*hP*#jsLTbp-0IjL$BwE|wnS)@v8;nTvEKo00X z8Y_xuuWF6ZF@Jh5OJA+_Q+_G4sOKH< zx#+mLPXb@_a@~zQ4RAi+_Mb;<-8k5O5Xo)j_9(0p!yoL^e8>nTX~yN}-rM%{X~~dy)<(g zOMdOvH$SSdbI1+&#a|?u=Eq4ownTfVQ6#;l@8eC%hKg4;?|5vsJkx$y(z-u=uF&-r zrcHUfo_5!!UtodB`D4*Lz!-!BP()mpMh_VbU=wAMLS1(QN|F2R~A ze-u2Ah1^gc0AtXhew={I--oWjFD}~Y;9nzVqks9}eW^Z@gE{j`xOxgC0IRLS9INKq zZxbG7p=6WwU`p=*ikO7QqcPem&@9hK%i=3p?;tNpi%;_%lz2Gxhr17_P&&K0*k-`) zhKHUq^d1~_eln&vtjSLYT@(_k?ZXx$^YeikHQgWUmJg-i>K@pB$KD8U?7B5_#{usK+R z5w$^%Oi)cypl|08Yx5O@wA5VxUry1u*oOcbqhI?8gg$pDr6Q+kB9Di2PaHf@_ilMN z(a>&bcUO;w!Q|8)ZTP_AZCTr^svf$#s~`ET!8D(pC|y!i{Li;@LlQsy%!S}rp=&!> zdIT9Er!zNSNxk*sbkloo`iV`rBA*6Ae)Za;0dVw~*4LzdFwi~#ZjNwGg;?~;`5e1M z5_+u?vo-XBV_nyP6lzSQhI9{N^bN)lUN}T+rDisUNP0Sks90fCxDiV-K-_YD^zhqU ztb<%{cM1vXL4HczX==O2o(!*!WEgVLoVH+I+0Cu&&pc+_dE`UN2Ejd$v-2pzbNU7g;`Z#RxO0#^*-=$~G+Qq=6DM15VtJhbR&@fd zn0}RVGrM7JD@4GdxY0vjqIjdM;bldBJB)zYD~zE06sEAOW0IzuT~pcq5-f}51zd+r z_{?r{$tfer`CogFq;fgnK{-niL@%LK4u4DKwSO`TO`ga+i+!l}rTr(OPg#Z8ub0%B8uz z!=CF|Oe6+<&{i`(-9Rqu@@Wz%W`RhO{c+1m+P4sswjnT zWE1{S{dj>B+pz10i7f_B9zg+P)enmRzr`8vh$z+>NSSz`%{*l@zLo=keTW4F3%du;uR}Z7a(4Gf z39NVe0r#A;Sm>h$lwCoiHUtDs2c{h7SW z*j=tYY|KO?>+w9x0Qy_GPch&*IV3Uy`<3BqWs(S1h)x7&#dB#-8uydoH;#d*ikGt( z31mkVz6`5+d!V0)f0;OF#J9EjM}IOjkky{<#=1t$^e9AVP0IMYsf={-xZF{r8D!|29SWQ1i#z z9obzV+0Q&6BT`1xD}_|uI(Z1~{#fWD_aZy^R>+IG|1_Aye-_;0zmreT!Pzl9fXuE! zSt^-JF4b-CTFt4K5>U=QOoFFxoE#(?vZ#)$qYZDUD8^wBX&!G`tdaS9@MgMkGL42xt3`7@Qv7YUQX?6 zBQr-Ot=GTR*DQDIeC1RZUrHP|)eBlX9%3gx*)jm$Sz@CC zFw~rM*i9)vI`{U75k=)#a6jAINLBu?0K9!Nq9td0u_Y3`ET3jvyEUgt{#kcb1s!`5??huH0WIex#B>^#U9ea_;Xf?LRG zLf>##Aez#q0m{FKO`{hpQ3@i{kL&XCGgUpZH&>n&FYh0Gc+`!!nI=-n__M&Nn6Qcm zXV5wV%Y9QX;(n@iF-<3)6)s%P3-pPmPiIr;uHuI{91TPoyk+Hpe9v5dfm|qo^l~5G zaIHn^SkSU;pTs*)6^ma)lbJVxm$)ua3-q}=h}xqATSORZ`5<&}(rTmq4GeO44~l9V z48WAuRg)b(-tj`Tc2b^8_%xniY8Jo4|q@;s~i4PPjOKf4_ys=vJs zluD_LfUR&+bW9Awi8oOmMz3Z@7ythVUJw(Kzp7;{G1e@1ZRnNl^>pYX^v*dytJXPe z9c*Ht#A14~f)hG-!hArTFCy+R)%HH$nFEj$WhpiS4p_|k5h;;MY%>q@npAQPZdGli z-RiT!5Ay91Z5%F}kF(Qrh1G{MK5^Ffrk}b6N108VYs$QP4|i#sq_i}7C=aK$wte?> zPmX=2*blR%qx-ZqHacF;y$!_5+8F**m)AcEQv`JtZ7UG}A9?Q`7e$xu`x-z+MMOk0 zh(yVPMiK_sQ+E}hYH$r zCzFKK@)4iPb&~es<_^!T`rmO@)AN1H%w7e?ml(D}gTd+|9R&DO zL>wON7IYv%d+hPgMhIk7Cd#nCz>ISrmVFlK@URKA6<)Ysxar;Kuu#eoW2(rI<;p?H1N<<-)$S?I~$NSgp zBUf(!^}KoBj1Sa19q#N~wYiO2xX6J7D}jfmg)7ms<^L<7fmZ#`rXO4ZxrNgM*l6VL z_6caB(Y`w-L=UYoq#2JJxtVrC%sgMoAj0yZ=Qv$BFfAqp1to$eXcMJi=eS83h{*gyxTvGsl^EIf!k2NH#hh*;P*CuKx?;yTb zl1!ZQ+*X<0dw`+&G;9Hxn#>4wnLxA&(+8jG-2sCc$u>v55&bKICE8f7_vpHU56NKRLE_|W{#j7!DHSxp45Z3L@y7|S5W4)_+nS?&s=TRoQl~2}Pb@%J zt1u>Y#glWPS+CB`(s=>G&2B%tu{ti}`j9d=_t1JHpyvs-B0=Kn8uz*mqne@P3_RK| zf9W|IST$_@>FoKv9pC=5mHIL9%^mv3zflE9`}6(6XefvzVEXuDECKk{6Szrj(9#2Z zgQ5W&_Ar~9y*9~590bCcmqw_d2yy%fRCd;N0eT>XmoC88E*k28s4iCQPXtyE;cxwM zfYT`Cm|kHaJ|{jcc2DrfuM!{4E$7`8)rBZ+FZ-^wGJLn$+Oqd8?yHF@84G$K+EjpS zlX0E65G6VvTU@)a7vJfsaiG}>rvE_|f`1Gs_-7`_ftFFw^uKM(TO}G@_l**n-y6J7@NhE zBQoiC%lFnkeQs9x@LRXxzYEIv`$qnMh!%E#106L5GXHGRT0!sNjm4woC$_&}xs9pE`N|HLz8BQ}2RI?HpEdnB?rquj z0P={v{kALe$3o$eA|DrhsVsh+N&#y%8$IlKRqd7qR zhRtOL>`AF5KF;;o8KHps4NjH{JUhh)IVQgkag=AlwqtA4tbE7%l}2!t^j>im_$Jq5 zU0wMJ;)r_p{reL44iEN&Bo5#o2PDBFw#j?7ptUG^Lp2QYk@M~0X`C6m#Y=_D_=jmW zV8qfIAH>@9t~a#S)ZBwhqH-6Wv#IuyR?-tWxLr!a_mZJ zh5Lbsl214%h3dc9F+TdiI#YI6fZJ_ z%g9QSKE3gURlq;c@ZH7qtN)kc1OF=8K`2QV+~4V;`%$v!6o`6b%xAU3eVx#nK#d0Q z*9Ij$ijnRC%YyIk_s>@|&ODoud6qI^X6=?dF&w-Rp#2aj@?7v!^-rl3Xwy4FbK1ZG zsgXC<6%;$=KflQ39*=&w>p*l*;fD9m^%r#QtnQCf(uoC6{4uDx531E+2VP?W*=TNPir4*Gdv}ngnP@Z4bO4~R8iuOT~!=oF2A`gU4-a@`= zSi6=-3l4I3w*zD3AsSy9Im#HoVLjiG`|zrB^)Q^^OM9A$%oo{n%tYb56`>MCXZIqA zze(@ALnwkD^KqV78d%xi-b7AFSeY?DMIxI`XXGl%sxmTjxlQij7uX!Y(C&@R&g`=K z@odDA_hg?97q7gI_{$o^rD_0ofJ z8xfBn*(ldLw)`4E?75+@L6P?a)jMnZ`4O$k{=tAEDHI|z&dct|%Ss*n{R~I|H|*Od z(5cdbB?G00nBK~F(Q{EJNQ4@g&jMq&TP(Wcw)FT%V7B}+FV5t48p>in{NSYnU@u|p zJ-cdnFWSp;fU%9`5V)!JqB7QKQr#uZ+N+Am`keOAhFs^Th`?^%WtTryIDV(_!sRS) zg#Wmk^B8@oX7u=3n3jh{hVywV9Jq+0a9f9JV8uGmEvHIslLT6`ass4BT8S$#r5L*o z+?s%e=p;9{2l3F^s5#DT6T1AxU74jFG(0-wynEEP@&#- z{Wwyu7INE@<(k5&WK)a)3`~mJu@b)K%nzH2%W(f&0inzl0Ym?edQAoIl0L7lu+R0kudaEV)4rpZ}%B4bFKq?=AUjdM8EoYimQ}WOZTv< zJpd{xfh$d2!O$-a0p1rf&wGOq1sEtk1>UYP=wX5lTLR2LoKIsS4*8>AVhnl2Qresj zbH9%cr9ZoQkodv-gui{9pFc|d2xqsp#{9!sEZIDSFxzD9OMGeu0SVpXR-TG~qAbUs z0K)xhkWo*w)c)k6M$F5-V%=Y%hR zIE%4l@iUn6p3A%31ws`4YFDeL`SVH81F!5!ShQfjW=wY_!R3SEiyH|GgLOl0Begcf zt626=`H!G8`46p9PS%;Au7W=h3PX#0yyzYMWr4gV*JAJAyu=T~d-*c=m-=#_r+|tJDJL6VlT;GGC+OU)HKu}E<6lIYPg;PW07@%Nr$Mj~8H<(cc z%Se(PLpTA63umH9`kuJCT6)=A%(6qj0g=CQ9TM$nQnqrc606H20hlpXhL@0@RB*{o zJ33w-U=jw&2{8Y|UxIuP%a1k;119CqhY7mRf#}R z&sv-Hq;WAC_J__&DXwjK&i+mobBC?~T{E^s`L@0Ptr1?ZzT*7Zpd?q0#6PZeq(Vhx zL>H!IK;k!?^zX+!@H>Y1DW(SPSbv)ix>P(^VRN`=@T`1=rPS^EAy{~>qR#6VBL=o- z(PwQ7)h^K^U$scicmi?oA7sq?l`5y8)WIe|9C&tneMd)_VKZFcX4l#M( zJYmwA1tJ2lXR{l#?$WSD@?9QTO$1d(Ui@Y@4e|KAol#}+jP2yeXvMECsn7)~(VBO| zu~j5fGWnwUJ^Wnk`_+s!vBT2qX}B}^ z%}QTPOIb?OwQDLoUloAixM~MG8DGX} z#$HU6=xc(n^KdZg+XDqV>?Vt)c<;RR^70rSXDJ>0b_O@`-gELqz@>F~H#pd<>{j(z!0@D1~zg-6XnQ$QxMYF;^C3wgc@7ori-i}0f zq=Cg~yQ*N(9U2*jQKQ$Fp`VHrfQ@nBZxInm(*Y5rDMdRj*qvOjLZo4;R3(-zOXj}T zZa6{KVp^ONe!80&R`U|pWDZ7wiN3v$EKOOndJM*HE3QvPE9#-&=Ma0TdY(}qP<`;% z40*jG+mSZukokNAjE1E`QP)ihjY7vFXQ(3rFw4~ygZ!zOg2k|FGVUP}$JYz=Ap3nCd>LNPFE@KQ*45PX;AE{ z^PKAr6j)&2d@h_L{u?oYe?viWMOb=;x^)eQUtqWzxzghZwdrh*-#m#$Tud{m&9~b2xEzm-$zImk7f;J= z)k_n`3+YF-lNEj{PrWn=XpvF`=?_HFx?>hg7!@bLhqrCsZDj9$&YCn(M4n%1E|YTvsulkS`O6YqQHvL4n`iz3k6^`NOyRt#Nge}tB056 zegs@M%1Sf>DUb%|z#a4Ic>86EcLu>to`6FRsM3KK;fUn2zd3ZY%qpOLZM?4%IkrP# zMzbLaYaJATDa=@{b*O@Nh;w!X5BmsuunN>hi0Z%u!mDPj}V&(|-uWdkD| zh9R!1;EF;sHt1z%nGRR-rSmN1KjS|--OazOA}^AjFFxBy!AM{dxGp|>d!~ojShO3ySb`rS3$F(o`1-Va zGvjtE`1V`4&IE#<-5kTzfTEETPFsZe@6GL#`bR}T9#4xP4dWwy> z)J`yXOO%s|`>lkT-1Al5AM3kfFFO4o+O2Xc;&3;0_x>X z#qj~}z|u<0M1-rUJjXB|vBO8Kjs{Aw;qL5^I6^d66{sDx-Of@faLcqb?j4S?{MDt$ z8`)yzBZqR#@-@pN_);W1X`}SdEU?J5Zy4lbSL;lrs?<0_r)Wt9hzojZo> zFKg<{g`5>5E`m#o)7sf`&sA+Ty7E;BBcNyt*~UzLcTxaswEL9p?Xv|~j~S^lsx zqz*(wuGZ?Vuo2QmymG1YJCm|FV@5zD^)FHu;WL$Wj7XW4tnUs4#(uIajS-akVqZ`L zZ{8&m$Yk4|xkb--s&-tC78~4Bh`|j!>d93^Qh?pZH=<;5jjhwn6@?hpw%Y^g?0=Q2 zIFqtCA0QlZn}O};-D$BiMud9c^^1JnGp8`>8o~mHWhqskKXN|=src!*#^K7iQ7|(5 zY(OrYzKhYxu9COIRPf`mS8B%81emP+EE#U2%HiR7($HZ9ZoG%XRJyuqMmK$@KN%#x zceocG5aYiE+R!$gbW{xXI&I5k5AGQK8zTYK2$BJ?3He^6hv(S_4E@x=ZE9jW_trcdhF|&N}CWwsWHcnJmn3u=p+5J+xu(uF& ziS^j;I>(ha%a50Cusl*tHGiFH*qQEq)1UtR=D*|wxlIb#<^{4-Y1yYYTYviW(Pq4pfS?Eoe z&9k>|FAmyUF3G77Fy+*#Q{QBzE`tY@C}0)75?`5$MBL*}Jyk(#-v*QqsUxXh5bO#G z3lD!XGU{IyJdswUWL(|-wgprWc`$ZofSUSc-gqqI{WG;pG}0(NzC}(kj7qR#11#2@ zFbw56nYcL|<_wb0xLv_TpiLM(qhGfRcumXX>x|feyTb#}Dnatc5o?0wfgYi_O1Rc? z+ArQFP5j)bY$zn?$#2W@$!>U<&S@>ulaPMqOhRteQr)cOboXE)Vxun2-1-0t{$M%g zX5(tsZ|4}~a+vf$_0=prngXI~C1fnDokgW$UnIHxN7N?!Khq8Q^#7n6@>kTR7UjE? zNr2K>f=j_VFscSL{5@xm+{bz_Jvn1_Fra;Pp78oCQb%FKuvQ1yr0Qu79Y_*8Qz4XAZ3l{2P5TqT{Yoa)>ayh6W@C)9Sd7Qp^baLxs%U+ zYX3n&L^qISQmx?eTQbyLf_Ga#lJ9B*w}L@M{5v2TlUWw@Zww99Wxd#b#WSUl?tfDX zDZ$05>G|RQq;tYf&H|u+%7Y{V9Ro(kloDN}pwdX>AIwbV^jjJQ!N9-DnNWjW74qRn z56#_7&3o?*v30evw)Y54yFXyF3bh_$iy8keqIj&*SE8JfcME;@(!fS`yr`bMM z;dK>kB6kOUVZZRRdUCHfhN|-2vDdn-GT$tAnWuD8DR_8>H-irz)L5#_gbOi)f*?P0 z_7*EH375XKTOKCoe{kUvB}egilO)M=#EPJ8$?xdl8ysD?`?-A*70{}ukCu3=wIPUi zm{~JRHbA}pg=^bI%uQ~|<2SmfFfJ_eJ$aHJQ5j>P;2c)$awOmCqx!IofmmSP&yuSiQ$X(hG1>Wi!-hUE5`(C*wFL+EmY&0ic$^t zoPg?K+*m#1X^i_d{F(gQxKu?OmV{h|j6h`Ihyp z_y*%LAC(F-4V=ep?K!6wQgrZwp-Wfc#}TFM381tUpF5ZK!jCGg55L9ZnzDe51LX%h zVtOQDk;&R-ILWOeZGg(lHaKoT4P{7SV6*1^0<~2@3zWNSIyZd9019D%Cqs{W=Op-O z-2IQ&s~PV(J+334Z06>vNdn^!agE(?-@TIqgCE3(DOHX8kjbq*&ah6Jh8FnfEe3_8r zN1GY61uvh1sYTs-QWEqt>cgqS98Gt{gTzj;+04>gssH~I?D(IQSC%!iOTJIU6eY=k zI+hyxYO5EW4;JVZxSbwbz*GJ5xIGjO)l7A}_dHd?K#f#XhJEC5IXf| zQcQ^kJ4^Ad&Cgy>By<%@?JkI@yZz=%uz2Lho5BJ5vbDgoR zXSHXAuG@+_?^eesYbMX?O~9hCVz%45P!Js*tWXNiMcK}_xh z)Q|(DN5Y?<-p~dWPz~HXK^gcHkdjfrpW;scqWsa3nwAlQyZ(pn#~e{L7sYKM4LoEE z3jjyqP!p2s56t8AN$d9{FQ#Kl&2H}r&yWCpB;;N21LJN`XT1|B zaWb_##g|R}{PaD7c>8+?q&T0ZpX=D2{Rc&u?l|fF&KRz-e^#_L|2z;OgzYN6g za91$l!4sS}%{k4^-(Rx%yA(neHWnfH5knnFcyknk13$2wpvlEu+EG0e*9~}9qw_oeM$GE`xmpjCZzW%3RZDUYf6=%sku+l;sjbz z2V0|_>GyTj6q-KT1iFK9KE9pvN%X3&l9H8SO%03dPd(SnWv*w#BI@3k?kQpt}S zvDF{P0S!kco47d=pqi$aL_NCcWfiIF&)LNR-`Yg9dDM}OgWmaA`7fc(xCFvNX- zRo~U-O3_v8$5j6BU76HDX%;cD7($a18PB77D&I>aq?td~eVW3C;>_Qk0_#n>Fw+hv z`U4jPVU_fN0kf$;MG(PT&dB>L2Qn46bc7yArdwZoPuoF|E}}zV4rl4Pb>86i3M0Xz zQu5DH@`_!G*5DDz@8A7yJv&#y)w_3&;F)N6EV<%s-dFe7FUTmsOw1B!(@zkG8~Vr+ zeW!kl-iMdsS00n|nIW4e_R~C^M?^=QcuW)Gqm8QUi1=uP*IGxdKLP=- zKzONIY#aurlqXm2;1LyXVx$smk0bfMvlY&XcDv0viu!#fAwASE*@=!C$&lA4hR)yQr;EEy#IfZ-77)5~nJzOd^9yC&wl9hiP!fslyLuZ&t;@Al1?1Isobk(7YNzzH_z3Sd5o|PwS8JuH3Xa^F zGKcQLFU|l4JXi$2cPEoez63|5(5>e>^&>h`&N}mmmKD~RYGCXawPZMhQCM7VJBXz> z&*LVH|A441*w@=d848|=1Yq&w;QTV|N z0v=OIhB&NjQqk2KS3bwKXef+vjQWPmq~I(!5YX z-H7ZXwCH0HD{nE!vmoW|({c<;Vq;YSGmB8mVMlkIIcBgR3N}W7WZ*Q+>{9&uE-0uv zx^7b7-cmFboD=+yw_Bj_8KD+ikH3!IEmA9>t0MQ>V1i7{I7p{s@FGX-n!t?(G$fraePaAFEou$V@LO{ zn~l@{^)N-_Zm?MW0N?#M6Gzje z+WAVxArkUx>bVzEJGLV15)QSeIigR$3{#RXIw zOD`X0ls>i@Q6yJN3u(C|Fdr^op*S2^^^wM0fl8-HfTJ>AipqzWwNbOr`dT()R0!8< z<~(=lRGisnLl1F|>d|W-B0EiiNok8fW~R?>vL>K^Yh2diTU(G|lkxCLROD01EdI~G z`)k!5>8(+tzSq^6nfg58$6gnuHQ!vZrKv7Eapc>#&k=dOM#pcj3&n413088${N?#8 z@;(RGHon5IWJ~0f9_>PWx76lKbLAK$y@Q?aU9}?@{1^3VreXTPgZ~nZ(ucyt@jD7K z%v3tVDI5mfZMv_j7orNJj=r64w-TYb=eQd>KUy$GQmut_bNrqr)2i@C`rJzZjJZDy z1(^gK7_?Mxn#}S;f>x59^1c5u4SF9=irO+6{c9U1bgHN7YU@7h8VEa=OY1~DY(0n} z@whyJ_8m+sU+{fc3%$B4eVqJJh`^9|t{ZJMJ|RXld8t{ZjyO@j;HrW2H4^k8_ub`; zVs6z;j8HaJq${J`2@{?P;Hd(3vL(2Z)ebz=B@43a5GDqB1Ar{hu#NAC!<0$*5TW(( z%G&IX{U)9ZX>zak;67u(W^Ej%Xj?s1w}^o~>FJuH`^*h;_p3df0QI%-E5;5t!{K3H z@(xTD1n%uhhn+HXK(}^z4Qt!{56 zV0m02)&q`+ z!EuTTA0xf!q4eLmOB+qBS7JwhA7;SX8E-BFm+JwS+@@=HcxwO@f_OWWvsr!TC;>5! zb@4$cNOyo+iE6WSkl?eKtDnUbdC|{Lgl9g9YoC{NbZ)>o*N*uP>OAgCJA9Q_qmtr# zLfn9^9#;BPuu`|sr>wScO>I-Dp&-gKP_R4V$hy9U@zKcM6v6o)=J4z7SAGQoJpzEr zlqbmzDeb^A>8^60x=+wPV&YW^Svi0Pg~+CXsy6QOAmHIs0!h#s>7YjO#OSj0N1%cOflyDJ`)fE1;q(~ZMG+@eDvatza4sPHh_rebY7N!RWv zC0o}YbfuQjo$7g+K7vv-4&Cpad#p4Y#`WA}qK7=CjDA?Ll6@5NHxzssa_i~E4#NrJ zhOARDBf)Z~H@8YJWu5yRcBD&i`^Lb&EjZ!29f6(O1!&cSCWUY_A~JR|Cw05epx}c9G;B_yz`qJVyDmFv8;wLC1az zc&{}lMS)=r%Odz?3e<75PuntpI);m;#qOlZ5Pr__Ikvt+P74)sCQ0(>XqF*Lp2pO- zyRes5?kp`c*$R_TyF9|iYMZ7s%jDz0SI$pxYwETi9PMHQ_RZby z?{!SmbJD7vW>u=(a*`gr9WjS~hb|ayK;8T?$p+mo++J@AR6CM0b$;iW4q+^m1!zur z4~jN)_ygWA9={OTc5vie5M7Mi31z0~g=kI14oFAp z#}eZjm4P5~e(>4>e2+V>P29nHK93d@JD?>KV;24i#pWW-Q3%qL8zzoG zB6=qDV-KguiM2enhOx8-`{V~P%Lcz}<^ER)m>E0W1*-(+Uv3MHS|cW+yMzz2qDX|_ zByGKjLH&R?AKa)+#tt+;ea*_ugr|p%8JJ*Q=4(i1f0lwqm_5#Bd$?@=VVFd_=C=0u zj@`jNKP^C3iwsTsghTl{0x(Dpjbx-g%y%&L78yGu;|-spV|V?9!^?o zV?PJaTSV}(wbD<&f;G9N`m#^ZvDr4!2{2=Wj+>x#ZaAYwVtGT2>D_I%-EMOTRM%ffTiuM@YjCoCf^w%AKh%ns!|R7 z1Xom%jJK&sx81%m3O=nyxrl0VCADV4Ut--N;6%s)wFZNBH;jNKsooS|^<~5XhAx0LlypUW8B_cPwT**zJ~5d^r$eS(`?#kRFR9Z)`JDJ3$Yq((OPyEp z*h|b&VA|^}2coyt9)v-BW_`XhKN_N46;zIqU5@1C0$4#o!_6dCnz_Lm$o^ttPM2)_ zulV?UN^HknJuG$<8c;!NKsRJ&*XqJiX9|m*+7k>c^AI|Ykx7amj zOUR5bJS5O<`JVEoV(EEV%OD>Hx&WN-0g@34Z`5gq*obB_*%l*#&sbzffoH%ipKA{7 z%`!pTQVnZq#N)n+OGsX0zgyR0US>#Wcr%#k(%2r2-&9es@#FsiZG+2LB=YlBUq-OP z_|jSqWtYDxwzO3vJRIr9^Oz9cZckH+tG+hAX8uHI+E*d-25wRXnK!Jg=nIV!$)UyO z;$7AcNMLT{dc8cpnnbKx~>V=^v22hWY-x#VwvR;aEnc(L=7WR`+PB6)nhqyY>7Xk3T0=| zOXDRxZ&Lg+RPXe7yt41UfEN2T2Wgczeo+kV5)DloLL#-9bu3Uqy@_Ar7xLad)<2JE z6WqUF7IGkYgXy=}jdCVz`x93l=dA1394W%si-}OT9xFhd9+{8b7^wnw-M`j4uS4TD zua8RY8rr#T7K|c4uU}(BOiPWh&tVpW`kAhhT?_W;S^GMhBg;=ZlJ!l(eh_lZDXt% zyBvPyqv$bmWEcRg4ZWN8ts>eFGxo@p?zQj06T^V12Wdm0J9Z&ZDZ>dU5N<{rsnoGe zr*J&U&X7E%cVhO~+Apebmd_#V;C5=pTTySK?6m#K191C@{cvCP*)W!fB{bVQ1rrRq z-Sf>0>TNtGOzdm$&hBKEq|5Ka>M3a1KA9IOax4&6lq0UQzF&k9dIR z^}Eti{^E2u5GmJJ^oT)s(@d24fe5a@&Q0$@2^xaDHbJS07-Qu(^%67uc2f@{O)$Y)>SRGMb9Uah$7h$B@fcOGYK>`W zGT(nncw_Za!c%ca(rCf*)|!HQ&+c=)Qxopwnn?H@Ulc@Mqe=cu;klPj{bSRCe!cH+ zm5a)XEt|ybCn06E4k;(u4afcY9O(v%PERlXWRHsYr}oSUlu7r)<5L^9=(7{jWkoZl zz8NZnOJIVd`~=F{Ex`?+b#Fw@teQ&jHR*ZXrTC%yVRC`)X@HU!oB3NrO$AELd0xSDmc$E2+DY+ z$p6KZ{j-MIQ#Tt|vicV-0Gfti7m8#k;$sW4ySujTLK=joRV-NzgF88a?6NBES{>^e z5J^h{)@S>d%UY-SekEBqu3M3 z#Cp9@EX{X#XY^!b?7CAY|2xYSLCqT~AY{I{M5^}^IWDuwYJ~RvBbRE>HEhsV ze%xq2^f~4;4z5b5U;f>yIcBu-hR!7w?xvjXmLSp%8s&<=8Nmy8$+ZZR+2KK}0@`HC zf#v~b@xPAXVRhWNG5A*XjBYm3Oj_XWrMq+|OXhS9vi4L8&rZ)1H=(V#p8U#9ImU;K zNz6v*;otNW`YR{oZ+gn@^KWPD`IetOsv!!_%fJN8{%>x*YW(L-%cN$ob>D zY{~5S*wGn_vvw`3mxTYO-4wfts=xU!-3G7hH<>EhyuY;kxp?v;0Tb@8(`t^q_cH)B zmVgwLT#BZJ-q@gTiJVn*<=gk|w{<1l9S+!u)xHhw;cS8ha4PF+v9~~+Yl_rj{Re&3 zl|R2y1|RUtX$-ZNv9v>(O*WIs9Pj1QYKsJYE3HhJX-s_$Qr5{>T7hpxUgylR>hz0* z{vr>9qv&a9R$bVe;)XqQ@k}0yZI(*%M9g&edzPVD03(G0AnrLi-H2fI0HG%W8blIk zL=HKy+PcQnshPyJ$tbX&wTBPI$?)jCK|zr7-6I!E9=Ub7Hh2-SX|v}dUS1W%r0I)i zJ~xuTch)KxyJVs$DZ?XrHFBa#)eD_;r~OCbBRK9h4$79M0yJVkD!wrVDx9%&vQ@(-`5IGD31~c0{6P5`RYW$(y_o-Ds7R*0nct zM-R2wu|q}YN>CT0MYVhgA243yF5|~@zZ__WhXyt*9^w*F;En(pY{%FyAfN8T54cNL zT{3NILj&ptPCyp|^Z+S=$=XJattUH@T%JM%^O16;JGzcXY(tUYr0AP0L812%;I7)} zbZ{I{`@Lj`1JJc|I-!Ji9J$|bM{Zsx8R!^w5FCl`zyh-f$Olu+xyTaGzY0e2fLIhk z6&OZXdX=P>Ik*L-1Y^WUj(u4lEl5fM5khXlgBLjKE4OnI$rdFL8|f}t?&5D_QDzxM zo5+msx&|8tSr6X%`FFi&WwmFDCAAbU%FBlwcWC$%ai z;~R|ecO;mI@|~YvPm${O2zLrcu`0Sjl%>P0w zga~=*0{wwcS8oQ#TJ$m!41Jm;%1-iq+mNglWw2W~I_!2qL%B`x?5@fjy(1><2EP5< zsorMHj3XuHkNzw7{Z$@Y$q0^MFhC^ZyXH7|?QFKhgv3xU801(Z&g&PJJCbf9u{$S z2Ix0vwuZ{&c>(ZNB9`{FtnhQ>g#nm~S54%0uGx0YXu;Y@Ti4>*UK^Vf-%f--EZCYP zT1w^7Qm{Be+58))n8}77T1S81gjOi)loW`BR;FaX-<&WDbm*$>K-$-aCQ^VK(#Q?S zSuS9SFa+qZbBAE;9G&_>GfAuZhe7v6@bzdK))TT_Kz70@BA`QXty-*bj6pIEb(I^j!LMSFw~oDqcvXOg^c>>%Ws^&#OYlAi@(;MZ zrFC4-II~<#-!x`CV!s6W*kBJHUfX!<-QJrd=Ls8pHJ!n!!S~}g@!!`iZeMvg2OfH-L%o5DK*j4SIlNYX0@Awi zKZz);`Izv5kHXr~osec&z;rTMch3MGJp&AQ(k=3K>?|p|ask`p5p@UP9dsfNsJcH6 zTT|+cG3%AJyiDQhtA=cej3&x`6#_c|!+}>8%o~$Yh`7ydNy^$8x1M#ZHZo%m5xkHW ziqj2y6#SyBB?J$Kt9l%8a|&5G&Y(H@K1jJSCIE%V7!YW57siOl)- z{SwIEX)T48CAUi(bDLXG2rCqpTjtS%k2Zz8hjt|7m-1xutNrZbkh+)hQ}x0*t?pG_ z0x^{wyCcyewVR=&&c{~8GfL>9?vMM-{l)IazH}Rh1N^S6A9P}{)X(f~r)ikhN64Ta z0hk0ZyAn5v=VchMOh6r5KltOEd{wZO{A#y<-|E328s02}RdVgILV_POZ54ot%vF(f zD~{qZ^qM`iqjEh9_>L?iEfdexA+S@+VtO0Q-wtBLQ?trm^ZIKo6RC48U!ClfKCx+E z)3VEP&@yRuyS4PI=|QW(@hS9^o$J#~WJnyQS07ppHjl&)8&8jlmW0Q43AFSS^s0K) zgVz?1WGScSCu=5@rhcz;vI{92GS_KJ=mu|a^;xp+Vfz*FiLERXjM8=m$F5-1JUC0T zV?H#{&ByeHA9@PAOe($NFcH%&S6P5>wOxeIU}6~(1kVKE)Wt7eW-OezAJKK|-2vYq z^3zJjeeTk$HrbmczdAR&cD+>kBgpQJy{=bKbUR#Pw0uwuoBkt_l@HK$xSYdYW&O3k5MB??%WqUx6KFU$q> zH^Hoy6+t2+JzIZV1>i^+wXuZoUpQd+%7Dyxg74ri)$YRP$^w`WNY*K}S5E?fBL_2U z!S0dmX+rHsH(Yfx7DffkD-r&m9Hi?OKf75wlpAvlWeRQO$L{F9msYT>i8oU0`F6$~~hMqQf)qmj_Eq9GH(XuEQebFor{^%c- zS`rk8(RgWFXA`Qat87#4@axe`m1gVU?=j?EkOc>!#ThryUaQ$U^-nwodISQ&!r(J( z{z(RZ(bYjMi_MTIE63<4OLLKuVw%rfYzuy3qV zJ#us7kd)E!AYIu=7SoYpqvA!|OR~a_#sYWVEr|h7YxGNN)JTVZjR?VoE7TrZX|`o^ z=&BZp*V+u-Ac$U?9PR!oJ+#TNFIrB&VRb9K>y&^hSj$}e%Xz41aPz((69i%IMN$dz97j(@wr;xMV^j59CVeO{yn=4D}^=e|N|#@nvVP_RW!>GM4M3*Rpj zTOAFEwg&NYxka1(jAJii*`q&?LaPi>%qqi7F}1c`2eUq;Qujlg5_Drpq2Xi@9*qf(bAQ{_g%sJuzFdD(kr_4Wn7)f z^V11Sw7T-;j+$e&({GoU%HZz zP48P3#wZHX(N3<)>|dk_`S$EhQO{IGo+r4d<>&Yq>w4sQzV;y%`8{cOMoNpdct+m8 zvo0hVA+hGW@W)JK9#@ZaXD8kW`(x%!tHr#`*loJQM(b|Xmba11E8Vlt;>dv|nC>527~$-`>Hx(Xte)e(gM*X$CttN9Es7e4f?CD>SvC2_XL4maIkI0r)yUPv*z z(9;xoj+#8U|Ly&2HeE_|=VVXrJbG$-OWRN4oahX{ccOl}v@ZXA={CDHjtoH_3k7ZK z@O=Sye|32Dd(31;(w>~xRhzC5l5-_xr)lfCM(O&KFYe?`+gq?TmwrP*u%Ygor6K7x z(Gx?bTfolbCI*KRfYsfO!_z5DVUDlZy3%2_ncnW)e?0h@E3-;nE;|y++jV42VRYS% zHqe3KCP19THK<|R&RP4@bFU?wdO5%j|K_rb6Xf{ZI;FTB$nkKQQsk-Kyiq!+)n53$ zDZPN+GgvbEojRBt>A5V$Zjd0Ix5H^RScee+$BG*_Kq#cVBkNdy0#r z?;LGZh70f15}8Qeh{+6h{7{osR3p(}lvNq|h zjSW~~v4dnk7n!4a$Pq)}(aBX4*ZZoXo%`%swzPoIg*yq)K13NU0EB1|tD2`$IJuGC z@m1EoNemDI-C~Mq{B;w_OH6o)d?tFdSbDf#s>E>KNV$i)pjSb$Lzi`t4(sBV4+)D9 zwqW|Z-!D+ShEc}6`f!oT$wXRbJ6TP=jmS9E2)!AQGFWWVY7uKxVxv)tI${#3moI4v zAB+9TqG$q+Z)7$3&vu~K<&A;TPTXgRGh?WzZSokNj^Xp&cQ_psm4fL`Vr^rTIKjn! zxFL4iB@w^OwvnMwxUs~L_S)8F`t{Mq2HT^qOr8!no=QQ?tc{~wI;gf$_Pjaq7WF+D z-W->~p4M^I{^D^S!Hvrg1vB|B6PmlPM0kGlS`-pQ~-Gv7i|2gbx5E5j$xp z^p`J>-~0GfcTq2;fWM-X=Qb|;ZNdPFvXds5>Uw17;jYut%l=6Of3OtxsWa$y6W6H{ zpzAA3$CrJ2x(BT15ac`$J(yhEVimb1i|aUY@j@}2kew9be>u>SDZ~=bOj=Sz$%(|z zf=~gP9LzA$W~3f(({Pi9d;Smd?lY{3f87_piVYM{5b2;I5ClX(Kxz~OlrBmaLPzOE zdI>5;YDB3bLNbUaPI`EF+7g9+R)Ea}TH?c-Z2KRA@dLTSsR7PNd8>+5v5xOI zO|Z7pCnMce!}Bjv?Bh1wryFDt3^DFad|w=tMLc$;#xJaK&Jk(`c&``|0GQ^Q<-)%YdaPLcMJEBru^QRqk&grAp(tzy=cR!0{Dv zSx)U{NB0>F+~Z>mDHRh+UYr5x-7N!EQWA){a=(~u%EotDfZsp76$g;Nu%ExeKDepJ zj8nZiT0D&{CYM;ypu`cVuU|_=YtNdWP@A*25qy0E*br8*C^yw0x-fQF=A>p*(EW-R zrrn9g5~uyqKAE39B?UewJc(z|Yuy?CO1XRGQcMC@B1<|44{(kdH?u1A>ZeTuWk9(2 zK(h^PsThH5!D)_t?E}C1_3X@997?NYm%o{A-O#_$?_A*4DYyk+8K4c5^=1s93-*&(y+OAgO;isHz|MWtLMJx`m{u zUk5G&rN;?3OpXM0r`ApGQJ|Vcx^+GK3wu9Bw5zN8#t~5C6I``wP2mv_uuZcf4RUX8Y#R2qF<3M%w7^$LW+(+ScKx6(sdt zP&+OU-;1~lzSH?X-o`%->U87WZ}!n(XT{^ec1~C+o;k1BJoHUP(RcCq^(cLElOm6^ z@BH1jXq}6}6$5qigEtR&Pqa|;>e;z*Pzf2yysym!4pvC51GYyJm;9H>gKt5SVOAW&!IL$o))|UO?3k|h(iN6>XM$8{cKm_&k zYoAt$53wc;{>iY)^&~RM98r?H@wNrxd7bCsplu`MB;iMJdC+wdePAaZSjz13xUw?! z=+Pjnd1?C(%lCf+v-915AUBOh?(^!2*)*TDTmJxS9`nseR77ZsF&sAE^+Pk~VCm&P z9d8GHPF3BzkJAcXz{}5|@EXsw_lon)A9g(4?wA=!!n&StKetEV=3FIvkrhL(m4<(4 zx9EMuK28=$Ru(eWTNEz#(jV;jwSNAw^LmjN$e}J0saPH18#A~so8jOTL*w|0JWpV& zFN0wGc6B}Z>tMev6^vGtTX%b4YJtjbeD`d2t)r+9wXodMUN=vo>>NkK9Xy?GisLe5$5n)!Ms^uJf6&vXP+Lj)yj-4yzCp{?Nbn;xadI#%x6%c``JI=qz4C zk>Xb3ei;s|qCAO6QhL9vg2w|gLS)`zCgcrD9N-hzkObM-QLr<4s2aFo9ZmSNeDNLx zDbtKD=_EoNoa=cnaNQ12+D_d>wuShnMV0EuSHfeddEKfkLrdHGDd+Sdz4`iZ;t;^~ z=G-XN10n1_tOtA8s>P|Hq1QDxYT`3b9Re{%$=GWv68!Oo4I={TJPNRKJ4nPW{QZwV zSlQ}CQXHM?Teio??NV3JXKLq}8Y&5+=i*uVzPa*s*w$T$io5+ie&?=j>Tq4M)4)lv z^S%k*wXiL~dM5M)qV+OQPwE{4*EKsYD0yq?)#uGkZ(oD?*lEndChGJ_rEmTU*g>3s z&aYwMAnDG78GlOHUNwBrKOOK67;@8;?PYNc6`9+2^3J5Fm}Ur7h{T83-N*z+GpaxD zw{3p?8SMS_B^Q9LLSeSF1>+|vX>H>1(Y<}@zH|o^)C2+;tO4)Z707$3bijS|l0M^&2sjf?oABINDgPXfsU60SGMKCN(T1q<`}sbC$XCOxSoCLW0A~@By{4wb_>u98yAth zxxPkJ`}T4V6d%>(T@y;|;CeBfi^Reg|CM&lRs(G7gQa5kEtoH+?;W5_-xAdijCt|K z?2?U9(F$JeKlYIjk@=Z-*`|kl$J`KVCm3Vg5wL8BvBsi%!wF}3*S|GEMHEWpDm?pI z3)_W@$#zP0Z|K_CFd1?-*FesfM;=bhcpcpS6bciq>9>{r?mfgtxAsb+FL&pq3h}K^ z>^_fy?)puF#9NUVQ(s!z6wi4emHqom3Z-JsM7Y4 zRv41jcVViQAG8(<2g1dVGXhRzjXW49pHt#It1)S_r%0*IOrczP`GCMAtP=5Y^QA2* zX1Dm~<}hOZDr`59lya7^PYmgEf%X#k8BYEX%LtgxG(7uFxKIk<%*Qwn<%feGY^j5{ zr$^9J#KfyulG2D=>su_SCOWf#7%aNPN&pCarYkZ-lMS9VEU!|cEBr^o(oI3pVaVPv zv`;thDM{fVXN|8J54Lh1ImC5h2XxtE2op0}hoa6;5Rz~)`~K9Al;lhr+t>G%9Ynq) zf0?Z@J5|4)9PmnO;#s6tx&7a~4(RAWEy~R!No`ZC3Ivqzb?(Oc)wx(W!h0E*FX%^1 zoaB|ExWM0!4=&$J@o5O-iEr;!4-M#0W{Uk6;@S24 zOwM!4bweoCdz2WwGpUqvq(}}5hbP8^FFTJx_)SlQqYCZ(6jU@Y%m<(EX-{4SVM>*H3!dGn0 z9U4EUQ|Th}DYBGBmjk}l)O;QUmyO7glYv*$zR2DEiP%Dfew^Iqyw;f_Qepc@2IAc4 z)eQLJ5sY{FAUv&bN*~P)2v_jZ!d)ktCyQz&DHuQThKJI4 zjMC?|uM{P%?fc)S=K@ydrzEND5@wrvM`9`P4@7RtdkfXUp6qFDM|cmSq?_+)9=K3| zdr66|o0ACma1`SOZ<@ekXv24BoI9Y~Pz9RyK2RhK4LLN346*Bpv6ec5Lg>~=E6scW zL*~WR1HllY`TT6Zn0Q}*U1ec)cWP&ygQL0xV_+rYVLhMToDz7zqwoyAsv0-Gbe{C= zv;^h>xH?KuO++>d7t1}T^y2&|TV4(j52j1}Aj|U(YJl>twBp&}P^LdzNwbhQ+ zaLBu*UO-ppn(P54bPozv7q;lpIz984G9Ll@VvAS>U6FFyTX+``X5XT$&YnNz|8noV z^$qbUq{>0-3Vaez`r%Rg)4i*rQ~L31i@RYzI>qc!WCwV6_JE6AA>@w>fD3c zl*|bR29R8^q`0-CU7#~2YQ?Fq@nRBBlRbqWOA$!yy7wz?S$3LG1!BSEp|s@OX?wb$ zz%K>1vPugCb!^%BPoDe>Po9-?XPA$ukaF62y5H^{gtjVqC)?J~pobg-+ThPAA>Sr9 zv)XVu6!skWzHf0vf<`B_K*!hzM_L8jFEO!f7NKvi9%-{>xiRNAZHpQCywE94Uc7kuvC+OHD`8lx2^ z>&(09*<65QcFX+7wV2~yZo_lOpu0V_@<4}pd?0ZV6GqLewl(@%eIy7K6-YF-E5*1A z?7_=jMwi@Lc9#)Q7YiGp3Az#%F4RKVs6g3@-l~f-i)rX4HnT)48Hdh}(A8-|GEk4m zXsnawcp?M0Ad2Y1A$oOYZ;t z5lJ`%k$jRJiWvRR_uwh?fub*Oee7WFl-N-vay7i#0w?OaP+}R6)XrH!Ng5#@K#tDlSs9DO^J})R zPk5e?qaPl~`R0BbTU=GQD>A>C)(7^rspmmeIfjnPumWnI*=^8iP;k%4nBox-;$I&z zn05{J9cQ5+sCjJ?4Q3LD-AJ`f-QPHpvCvk45AM5qz4Yczy-73{{+xhz-Q;HGGTKfY zK6rIy{rwU1m?XU6lQSCv8W5u*!rbLlpv6vUwNT!#bA?7Q-tKwc7V0le31`JjDa4#? z7rsDw$IEjHoTH0@a#&MKE>_p15gl~$9z4HqG;&B2ncl(!mRRS@9%tO`Q`l|*gHS_B zVK|RbzQ~`TNf=t8bSrtmiLHAi%^|bGhRqW%i>LP3ufxp$u$H5Jp<0mq@m`>Hf3L9( zu-d1~o`>xv8fedoWgNea)`=zc6Wq5^`MChOeFf~WwGkI@e81Z;PQyE=6tMlAGb93m z@9=;R-n|w85DEgX&(nPIig$b#^ccAG>GUBMaOc20N!#Y%I_Q4^q5uC18?M8C{0cQOjLD>Rj^Dn4#pDYTHwRHEr5~tlh=@q%p8u2G1IH z3^Oeuv`r{4l2*|~90k1mymk}hU5((11%jkCA`i7`)E{gm>)^RUpa)kbzM+YfLFF~@ zDkOjnNt+VGZZd<@NjY);2-Od8;zSrAeM{u|oH^0Qyt%)^+L0rg@m^gRJmeo=R1=cOgVu3ON6be zmD}(u;Hmfv{#mAx>E0@kRHAsF7^nJh>;yOtQ8h&~Q6opgdh+qR<*CR#szvI~wHUCG z&|ICup~k?lQrT);(irhS#~uH(2EC@XZ^!Td%pejMn4m;pesmG!7>p`%?Dw}?waLN# z9$-&K{(TiBn9Khy(O1N*!^)Jq`C9O&zPzD)#ws!uF>0_HR^xCHr&pi292qvQ5*PAs zb*MCia3=S3_nt{_wBw=tv+IZjap=9pp;K~Fkyo#J2Qgx(IuY@53XMRXQl1Gi;ey- z@Gob9j{CEXUs%7LuzpzS7Vacwfrdm-GR`PC?6RVO45`r(H(GrNzjMY&O)r1^_;_9a z$5mHhWl z{YlcGm6@#tZXWPl%cjn*ewBnL9g(~35sRT$ly1c7DF$_W#_O-_QNq0K^6#FYBv3T{ zVX>25h};G*4Drt5^M}lTlTxjJft3CyjVg>U8OMpOJM2x-%5+1uAifS5iGWUr_&@0< zn`h3yWJquQhF6VK#eV;AB-z;%DdZJOb_{_X&DBbJEi#`m#2@eFAc&@hU?^R%7D?Ip zP*}p|s5?$sH=-1;_`6S49Dnp1jD1#)VmV6R>;B=>U#|Chr9vz!{E4*A-?CSoRIQkT zE-i+VPprV-YvbQ-jm9`!+RBz=G57%Xvn~9Vx$Ar7I0BQiJZMJsA$QQz9&Z*D_mL=p!S4YX?D|6`Y>A2KU)6W1}RJGgx0Zy3(03SR$TQ2=< z0v@4Xi7=s7yY{9CNm8z5o%z`WwLO@mrDU@q41rl?nBAva zoLqOH8Qg?RtCNsqu2erFpgz+{;fA-#?}m7h>9R99iv6;-l&8AuO}DoVFE5Pm`K_D$ z(6_H37oW}-z08{o?w<|PEDMXH)bnCucX<{rzLuo4xzw_Q2apc%v}w8MCJXPE${6`v zRoC(O^G8`c!XolX7UaHaM_(&Alg_|!PC4>H-d|*u#VoP{i+M&uA^;S8tuh8kttC01 zCT+ZkZCD-UE8_pz&jy|bsqbaGU=S|Hn$6C)i(wRmXQCAE6%J`UWduK&cXxiaij7r` z#BOP4y~sW;mf6j9|6`)uTTR0lYJr(79vfD0P7UXYu&_9`2&1resJxfB2)RK4J9imW znLVFi;Xy6n(loybAr>U0#WcxY>HNhHf%I+cwlU$0e<2}h!Y1#zeBtrt&KJ8Vb@L+z zz(zrGF|xj!CyS|D;^#?<{h|vG{<%r5sF%r1U_VS!)Y*&hf0?aNO^yDf)@P~2r&0XIq+D&4et5m@8~0yPR* z*YU`@T_KhNE?4l{KJ7?44|oMnt98|(E_YFTTs+=jol;h8)

SH;Vdr#aMU_?2RoV z)dMNN#LiwR9x+oO?A7!KJfrb|F0;Z>=g8-CXhF8&6j}Xz?3wGK zUp`jVd^(qWk+$yNDUbhkPR!<-rgLztjqUI(xxyJB83J#S31L!uM5fY$h$?a}sQZ4d z`kq%KAz$-!;Fz7wuZ%dx0u(tmGtvoZ<)t&vGh z1iPPK6+=Sd@yNC;5oR$8(7w_U;=X8FAYCm1N2leO&$|A4>I`51c5J>q&-zQcqGEJ=!MIi-%#NU|tx zWXo}!zLmkz2Do#;q0w?IB5nKNc{35d@AzYa0n(%NC((d_p!ibtKNVM_C0($NW6r@lgnLobc;mfYG zRQU;i%H@??6gGQ#TlMjVs$@CFx`GbUKryI=2aM&%=6=`1`E_}J?M&T~1G;(fO2ria zc%B~MXVq%(ALh9ssBOTiqRXv8#1j#nZ~3S_uj|w$7Vv#hZ?M46N4^)nSd}tuqqpF2 zwdGHq>{#Mg+3T}DLMrG@Z}639lW#Oc4eulc-MM4JxvY`?^isZ<2s8nt&0S(+%iWK9 z^18rP_8)AyeikM=SBmPY`W!jI{?I|X=wnR2X?X4#d~OZGT~FX{1E(1M3<0t5-URJYQoo#Gn}iL3%Kk(StjwA?fm|{PE8^`H}qD z`l}fHwc!N6dE-oPTPcs5?K+taj~wo6V@e}pcRe1-vH8l*x(EixNxjm{(z+pS&ieD0 zKwj);C1U}D6)fV+IWy&e-NmEFfKHrON)l6DsZSHkmCR`qif4BAAiGe+wx7%sr|4L} zxsa|~pFM%2TzEp(9jW7WZK=w%AZadUU|PU%0n(y&WggFRuzp8^3dQt(8zxqR zqre=0lnRx_uOa!McMD#@ENGkVWILyPUNdl`u10ALfcd{J_Wg_v{NE$+8P>K;lij^zE`A8WPQV^ zc11d)*&}g93bQ(V2~Lt;a-%7swvkC_#?iHslbk#{^Zg--ZY0<{ER0^&Kg5jdAIQ4e zj5F{!T1Um0M>nYesISAq_NG)4*es((d4SEO=f?(~_0;2Ca@uG2zOewpsO}e_j*_L;6$`e zQ9cOx{x3YHburW7nav|3V8r2)<)!|F7WM|T{N#)vA|FZWeBGPWu<7e;z^=NV!}|;v zevB3dXVfEd63#ea^dUzplJMnvk;owJyw#1mm}}<7cAmxmc1*1rRj6~!j}EQlrB`qT znUR&_Fq7AmU2q?vTfh4wI!Jse7?gIG>$gqn@$Ng_WqrZ>9-i% zs#mB058#zM426C@!h6Y)V(1OgB2<8%4$n;7{qd*gx<~#n@_&Q$o$v(m34mMyinn!@0e8Z7B2H#ud$} zotiBURkn>wb3?U3VC!YEh(Ew{d!}jQ(eSNbQNWZt)+ELszx}jH0G!xu-_ui4MNm>{ zg01VvWPCgHRVvZ%?;j)%=2>_wy%9N7&;<_;`8Dj*_(hYa<;6`&y8JD-l&9$3N@MnU zSS})xWPpvFOOoUU1NI8~4!n0j+n&WsjC&j72OUxqYV{N|qncoNr#g30eUFU>M1&7; z3T1#h$?U1)_6~M=zFpr2dI49V4Zv*Pa@Hl+mfbhR91k|xlX3!0GOQ`1!o?)oHOisY zJ`W~Fa0yo)&RBK5op=92L;@Biv8bT)Oa7e=hd(C3eZAlP%NB|g7`Kwg1B3(Ul>rVo z;Bg^g-)>m=fGR=IEO0kUGHznp=IW!`fL9F9sE~rCG7eB6XlJoVyeUq5miP7h07c7D zfwu$f&UL-kT98yyDmWwcDfG#cm4S=bidMkUKJU?1j_b~RuL~ef)_S+>$M@v#-?n}EFq}0Eo?(wv0Qy5o9R#eyB#m!2s zIEiCY1WY0r&!A73rVoBWXu`NnoS&jOO&D7-9qROdoM$pL2r)M{*!Knxm3FRopeT0i zKI&^5@)UMnmI6K+2j2@amju%5f2Ae@pfZx?h~A4JG*<{AH1lR_3VaByuo4z53J7iB z^t`I;90rH)giG%yI#=GGa9PT%(jQFf{^ox~i_U07V)91mmu6g>!>*{a+fk z#BB|VT)DT2FX<0eGdj%mM%skoky2ivQ02W1yGy#P!t1~SC3WHrX6FcDSS53U>Oxj z&1>fo4suExBGvIF5Acf>K*b;bd2i0@t%;d5y>c$9>ux)kf+#+|@Xfm_*hCCVb)+Yt zjHuhjet+ByDyVMsL|uhQgJ@)fXWz^CCHnjXHoQYZ{tr`r-#+UW=Nftr>g;Lr9!l1h zzlS1Wc@6i~&uzuzffc&MryCjq?O^xv{PegsT5aqC>+F)XozJ`k-v_E`3D zhtuC#0N{R71mw<(Lux3nQ)iyjqz!}yHKR_p&t_g?8haB5r$Ky_Yz5VD>%LX}g4XTK2|8P!r&mre^;?GIOHkG z6Os>gdvoFGMu%SLxo*kLL4E1byWNNRnolqcI-3l7A$POU|r^mzozdt@*t zFw{MiIvZ&D;UYXj&{9yUu9n%(1b>5IeJGZ)gV~|%)k{@V5S@i*j5F%Kk@uK7@VZ=k z@qTWRb|n#ySf0z(W6hzXe0xe36V4oU@fM&EV+YBFZr{+q)jeBvdL?eXYT~QS z7{3-Wo7FS8l>iNt%v!p$WX$_#0O#l0)+vJaStlDXf%B~y-P~^pobCeLs5hkKO+T2Qi6nXTIl)fRBcS4WU(ax>(Szw^no=qVm?AQwR6Q zy+6L)e(573a*SMFJ}qHiG9J4Lj|NS{ML#tR#!uSs@03teAApBNuany!VFh;PnC_dw zXKCVl_U~oK@YjNaIc6X&6$@AR#i+)3T7qQ1lgKR>VRu8y0D)9c(gd67#;Ig#u8xN} zm5%u8z#&0nDR);y<QeS71MO)>J{8A_68!X?_WGIBp{N2S_(tqNK8ev(p65H5A7z zYJ2pYcIZwdCc~NzO!`dVR;gwsfD^+k@YWsrlgFaZvvE0^Z+xHeMZ=#9`yAvtEyZVW7cBT_IM zL&8mg%Oy@L%-J3q29W&0L-GB5;Pw9(8vQ$4Ze*5)X?aBoIf>tAAX@u|;b-t?c`^77 zzu_Q6Z;WhZOwl^IRtvsja9i{=2RMBzyOWPuim9v8kQ@Ax$vh4_1lzTckAdek6HNZe zz|PsFz=+S6>`F{6i{wI}WnTspYq5AnL z0dEB#W>U}d!;d9+gJ&{@Q!h$`X!+t@E8R}vP9J$moh`f#piq`5E6+K-Fq8U)m>pX7q(bzBcaoJRa^w5S%)C2BCB~DQwP}Yrq_Mx}kd~L^+_Xx2U>du> zm1xijt&eXDG9bGx!k0|$`70>-9)}`+)JQSud7eym6f0%Z*2Y% zu^GL;XqW|I_G*>M6#MeWW>iS$A+dKQt_Z_kq~BAyxD(wW^oItI@XEI>M_R=lLvgJB z1;nMNHy49G#G8O~k@;zQooT57*6uHdkzm-W1=>Q9dR!d3$G0lQAAb-#AJ+2y_DCH# z0ay2MHllxAmv!>n*};oOY)%Yd!BuhWn>WNL4%(xQH&^>Es63rPYB&)x+)>dI)iEA# zdv_s}V-2wnYal7@8c~v<`-Zw&{y(?~z?6X`X8<`2=nH%jihl{G7LyC25}CO}Z|2g_ zxVdkZ{R=1O{}03MQKZUx=?}ww7(@798}2{DKNZ?Ore9qit9DYcHXAvNCsUzt#)HBL zl{iv(1D)EsuvGfZNk*SAu|>F?67`BBnDbRt zT^_-pM3XG`OL!-;<=zMT7@ZRjmrXe$4g)-clI36Uim6;tF>6RnvZy+@=+1v&?DCDhqv4JRrWwa+`>{XePAKGyazg2d#-$#{;bJ{g6+VGitBvV)LR0!>Y16X#Qaa>RqmQ50-grGLFMhdR zqWJozr6d?R+KlQ<;!{z((Q6qG@q0jMQxi%rmer=bsDJ8!#d_Bq_)}^gYC(P_iHu(c zvklIGB~3Tl>*WNyE8v7dgb{RdfD5$LOTWX<1O8a=?(u13$BISV+ z65l(`AJM*w-Cbd$DN*yu;L%q;xW0;|gnxPbgT#LeC0@>6ae%3e;| zl)voizF@D5!jCokodwi9-G)Z?JfRkhIGya=8Cg#N{t8C5pO^Wxd?C&7vUpel8yVEA z6TtS_`ResVgZXGpNE}*~dqFMWzSglih2kSw_>q;klA|$+hGKaaT5P6@O z|D?npnW`?^P;O6mlsUBB#&YFC+7rD$0#haQFUeE9cD{nQqZ@Do5jC%El9ymU81{Kk zOvzkX8;?%~5p{8A{RfvscIOGPsly0$yMtt%ch>BH^#4XtcM7;2eAoBbEJpq5sBv!1 zl?W&4FfL(7RZ@=Vm;uGjDDL}iu!my14mag1xHeBEsh@KUM@=pr@FT;He^Z_MB~=Kl zgilZwP!WDV0XKsB!$VHp0%eI8l7)k@smW968C|wb!!jU;1}9UqE?#N2iH66CCj4T& z*4cynN_PG>ysMkeCm;3pFgbN%Vfja2}2ok z2QgLdJM=~1cI!~shg#76q?GCWL2h!)Xl@NXp%+P7&PLm!G zeIL8I*x1IcqVeE8J3LRK#U~nix|Ib^x3J%YZ9Tq=hJ(=rKabw>o%8hy#f5Dgcu*l} zXLc6fiOfHz4*W>*OHgvhb@JY4$ z`Gn<@g31j~(vO@TtG%hmW?}4N`N^^zeQEzF^7s?BCbRxWPeZt*V}Yx3kA&th$HzlG z$Wty=3RNEa3AWPDX3>|wExCHj%?Q4Q=2q1OO|7o*sFGQ@?ZQv3n<9uujh>(!oFxc! zHb-xw%Fg@IWB0Wim0C`;!r3&3!6-=!V=GIA+iTij3X7LEaeGx0oL<8N5Pe9Ym4-L` zJzP!WC$XO61GX4B@sJZfo&yHDT9Hyh>^J~XO6(T>$w)UcJ9wAK?v(H4LE-NG2hm_L z>8HfqL|T6V^vNl_Uz#bLtJK7-yD=usf3%fWD!uuFr`68>FDHXUk{~9d9Qoj^zKEn` zMhKzHNI@z0q2c$Y`fg_`O%=(_tj|?HL-LuU3p$R88~7eZzQvtOj5@^+tRm#*H&_X0 zoqcWWfe!6ydgwjZhWdob>9G|})GQ6}b70jA4TzA>bQygZnG2N+2HTi`VtK>X{g=MH z&cB+CA#$FtqYg&axDA~?rh`A~Wy>x-Bh3>Bhh+s1F#pU7S2w;@4Cb9IVhB|hiV@&+ ztMweXX2y~b59AOlbM!p~?L{QzLjN8xqRf7x!}hRLs|sN301^4v;n;m(yweXd8nuX+mS;D7jCp)%%mez4zkj&pn9HxMEfBAX$Ko6B4 zwll37a@7o^5ju5~@^{YbU>+@JIhJLWXrzvzP$xl z#@2qp?t6#(a{$*bJ+--h5L%ie4;ZY4dhh@>uH4h4{=*>GkMc?pRCVn*U3U~%ZuvUT z1de4Z(Gv^7JRqUqS6fweMc@KMjwa%JvP_YO94-WZl`sBTWqlx9LZfh=cZ-~tOhj_~ zSTswPM!b!$nek)~S>n20@wY6YRdC^qk_!pQp2L(OfQMHX{X9d!pW^)^F1{5-2Q{cA zci%8~as%THmm)7Dk^ZajQOub}sGy5a+1{!wbOnE60XR&7<+}b%(P%REKZn5jF(gs* z9`OrvpF`50T3zJ<%zP-O0$3EDOj!B>!~sFA++=t(oX85?crik1Ghjo^fJ50iF| zblBQ7b7@O&-5%FE7o~5jwt2(q`LzltEU?_gjdK(Fh2Txugj?nY&N0r*#)sE66@z{0 zUYdDC{l#OHW93_bQPqfCGbMxy2*XK~f{Cz|;llqB8f$`ZLQvWuJ}I<)Ebv`f%F>^H z_)KA;&Xqc_Ajk28;lj!3R)XEk+1j5#!VvFE-pY8Dg!6FaE4>W$hrxjv@GkH3SHoFt z3)#NwYVmq)qu8QHH^5PenMGJ=AC)hd9yaec%XUU+V1U|&x?EoAmtg!|M+L>e5+;N9 z##81Z^G1bSZR)pp${ou}a6ehtGwUsZ z)LyR4+gWaQ0=`Xkc&;a{FUKr2O8pPU$5u6_)#B1e!5H*6=nLGwb4+oyh4eno7w+F% z)g=zy31R%73d#NFKFR;9xxN3dT`F9Oth>=n*ek)5ZHYh`8@HZN9A6EB^|D0_bbl0A z+P^vjUN%bJX`+St&|Glw6$bT^>5?6Pd_mTVuD<}ioTCe}LZ%`26_^{#_Y5ub587Jp zaQ}A2RDpBR_>ThIt!0dnX)H@1WXO^s&Z0Jd+{b#OeL%NHY)HEQ4r{H9d zg@x*-AcxhN;e$oxA>2Xvo?eCEn3VCHRn?@`#PGU5^s}Gune@MdW>-q%eoKQLD<7fx zZ6}>l9k2d|lO=^KU;MVu<9tdoUPRMY_}WzMog6|NHLr_PO_+;sOrLDwVNh=7`hQM~ z{qLpkZ(CAvc=&tnY`?7%_P0;xiBrdKuk>up@yTy*fvr%J^|x2jN~MkYZJ#qM1b%;| zr)3$xf#E0RlK*WquJOW%73^lHymaUadgprab+^{+7dci_c$$S@09K}nU}E;`!WmY` z+}8>wHP-;JOw*!X`&2O(cEw%-%;hup>~sJ%Yy0=ggxL7d?&rhx_r+?5;Abs%AD)qX z+8ryUr4Z^-T)(>fQwgUSIlJfP-u^gH-r0tCFa*zxp=O=O z1Wo)n=mygEVqF5-S2nk6>|wv-{bqRYHrK=kWG?CrKV z-)DZg!nkVC3EZ9BV{Fhzh~45W%3&2F4sMtcjni5FNhpy6`R`gXco{Cn%%&Q^0mlIf zY*`Qi#Vl~<5T$^)!a%`Y$~?m%L}k@r>73M?&TtT9yJs^j~6&Z}~EqW%YqUlprCVE6N7 zTb$rKg^GaAT;b1O6@3$1AvTIn&6EVfs`%1mLWi3gH=lynH$M$$a4*f>33u#uUZ-C# zKf+Qk^hdDm4`ydoB5xiK<&*;81@+*jTUfV$%c!;h@ELH$%}8b(kD|^Fys^szA6{L- zP(r$84O}QD!I@A}EoMu436ZW`L7=>hd=T;kG)9F_zQyEn7jTl%Crv_6&9GsShzW&f z=tV@M^0m9fM1!Zw2KjbiD!?mMuKLz34CSX7xO_xHx2&W?Nnl|D4&H;KeN4~+AOfTQ z!%x&>mo+xtn`l$BvtQMtrU|hYzqH}t8&p1SZnZAFYIL&cT^qVG&bl}~MM6BrjAf$h z*nJ=2%v0-6iAka&?UR6Q^!aq+gdM7`=tlNA%!vR1M6hXQ*$C;Q z8!LWXCNdoCLQfoHjtqpA0taPW=dZz}>f77lZ=V`Zg z*9Z)l=X(m_y>9=qXZp2?!2;H8@Z)9{V@Kz2QEq*(=2$1PG<4==9Z8V;a0p{hE|oy6 z5dasEBSUHHU6gv-xsEzpd?fAKS<}N*U*7CI;~!jrJjI`s3C&RYNgp?G=RYEWLw=70 ze!-&4hq+7(seZ|!%k?s44=MQC7ZZV|9-*GMpo2WH9p?PmQtWM8I%XE=GuR`Glqv0f z5AWkC4r8~;&o2&(MbZkKaH1;r^4 zK#kJI=EK;yEBzrW5vQ~8$PQ9p4pX z#Esj#Dtpix8oyA&Rarje_%ah#!lP;iw}87x1R{?=9GJ4OLk6NSCIS0S!5_tO->+OH zwJ%jeEx!7M{wVI&7apymbbr#5c6*Y&+b7!Gb11YpSm#a~IO-K(wJcNbji5x&pGy&eO9p0T_Ki8~QxIc@fe_ql*KgG~H{%S( z5awZEosFL+k=x^U}o@8U3o>F++i-5;m#0pv2<=;oY zd-$+-FgE<`QU^-G9EKJJA(i^**%Os%Wk|H+)qSt_(tXoyiU`{YU5jCl#fbSqe zZQ3^SX>59Q#^{B>4u~$Nj{Butarn+?{tcyeqBeNvnH*k3-B8R1I&47CY<^6wzQ*{9 zfp$ZhyM#mVOV$g+A=}6Tv z(U2P_A#3h*!-2~;^s)Lb7FCahZg{-<9)b(kh`J?9!pPxe&cn4wg55>O{rPQId2Y?* z22rHo+bi4?=iI(?4eoxtMz`J6BX!LeTu`ot4JiczL;{iu_2%7l@J}>KcAL~m)jp@1 z2u|v^4f;QwQR~qND0DwMNrK?CnafpSwzSnlgM5oo{fFAx2|RiCo#JlL4!%iSkc<4yIL6(e|DSuz zKH(sio#F(?#e?g$xnw*B>89#o8NBVE`qP)y(t~J@?ueMzc+Y1S3LpW zkZ)shIDaX3u%p*jJRV{jg%75aDPiKy12ccCzpL}bNByNU|DAi@YbhQdtnk7ca!R@2 z5=aI#iIxx2X~3M4!jdQXxrO>YY(I=lt_mqtSm#J1u9%0on}V5{tWE(YSG)fI{8&QS zYf{EHoSah_gAN^}`ZT&kH6x`z7a< zKFWwZIC3>=bonqM%-SkY{+Ee9>Rp~i*{7QKn!cq*nS9z+#W0nzizc~M=pz~Y60d^c zZxC-6P177F0oO1BcA%L%e}P+p=EVe@$x?OKMb+{)VZn~w+@rXE^3-+F_b7JiX>Rib z1Fi`iiFqld<%-XN z=^%z@&M?+s=YHf@;t;o~W3VariPt4Y3=S3t2~GJb(jOdq;K}$4tw##vwa$DgC@Pu$ zScF$A$DC&-F<5?e?2PPF(LYh{gh@*YZsn8ipiMr1RnU*<6BA>;mDz z4t|R6B?g0GU_p}Dj$n)Pl6xOf$>VEY4S^)@JH!N1f(iI9?g zE+&Mbx#!FxSB#a`5AlL#+!Df*&gLNhqj60|*6n4FxI$+unduWp6}7p^_fhD$_%;vr z_e{#5pR1yD>qW`piLj@|3TQp@SAsk-N2<9g57CZyW6wLA^jWg<03F;vbf{V1=dDKK z3YUH6Mt({?=Y&7aU-H2VD&Ugp;&I=7qn1YvUde@!YAi86$(|``@Nx{IcG0d#|% zj`VyTZ^UBObzmWM&Z_9if5{Syn6HprVLP}&`)f}$*$RIB*&=@=(+jo$5<}b_OVJe8BK(z5p@G5)5Y<2DaEe0YQ*4o<{-&W~@v0}9hp zTkbS>JPf4XjXn~(HSxr{u16f6+GILmvyzb}e`-P5AjW*JM5mTGK#_jm75N>bmN~n9 zYj3aYvej5<=iWhP6>Xh=yq@iEm>2KsC+ZK?pL9LFG#=Htr|JoHQ;b*foBEBj##ghQ zJ~$sYBtxp6G+?@G%~h2P&b0+XdJHQksmu52p&Y5fbP}nJjT6-Nvv@*0;sxa=Z0 z;rq1g;+{UG12d4|GjiMl&pCczP~^v%ksO3UV*NOwod#r*C03i)?t$vBI` z6st@#ro`yX8e1}Mcu1E*=Aiit>)39Wt)u@Ml>q<{Im0~#8My(NR0(u``E z5I@_Gm6wxKjkN=2z)P@~R|nqKYD!c^a9?QM?g)%Gh}c`G47P3T>%Lm5U-XjktZ`hV z#7&n12v15IM8U!lt}(d!tMWZr?+%RiTAA(ce*abWWw8-0R~qER?J@PjdiHm(y;2^l5?%mKIgT>R$^hz+i~ zOR&s{MfDq77bU&}%!UlfgD@-oN$E^}8kN+58uiks;P8fbcXVHof0-?}zS9#&u%Ord$YUpZQyH(Fn4efq8DQ^s?q{R7_-8yk zxOKYGEjWsdFl+fSL%~=sq)$A#@mNGdg_1!C8Rxo~QBc&*0OAhOsNYA5tVb~Yi*d0Z z*Ok_D5ePO3lUNms(psb)$d@$!m;nyzd;{Df1s>4qBKwKsbN6Qr{brl(S+K!moM*;; zg|%iFzWi8QS}nJdSV3-IpyDndsoaV3#3)DIKCz_NJ2<@2zrvi-`?!H+)PBH^2- zfx7N<7__t9-zN*Pe5D{)4iL7*yVch{e{$n1>1pRGM#r|rmv)bs_RTjc!&bba39<|} zFs=>baIMsJGipR>>6tI{pjs%!>(oGKg7C(~NFIzrNA$})EVvvL}emr|JZ!Q@s zu1Vp|FkR0Vfy{ijzbx53i&khKSN-MNUejK1Ye4fKUhV&J!w1dJ;EN^~A_)9%G{4=t zRyjsj3bW|qH|N&k6Km;&^8eut1y36kI#)S=VF4deuqJXX-QYVF zCq)E!=_JA0#!<@h5rl8jBuj1gs^bIIA}neSJ73G2BD?Q+*>oJ_eNMWeS=D&tUjDk! zBFQ_?`b2!BqD02Z`gih$`1zDq{>!A`WMxRCt2Q5*aa)CMI76Adiv~dt%bXj~!3&;8 zrh2iRim~cJW}NURn-}dv6bSzDN!OdTuSCU;l3r44XfTv7jxzT7 zA7gnsYpur-h_w&H`!P3)=nBkRAg_6?@}51ha=iv5%6Y?c-npxH^Q4*uBhDeOWg0QWf z$ozummUG`GV9*{QSLuwE3}bXgO(yel)Z zD>o+<(;zUlk9E9?Rqp-#^kvbmph@^ysG<&%Zc@@$2Reb*bwa*M&}wN6Bvd_Tw%J|3 zuP!-o@yGu2Lq|C^>#@3e3NZ#5r#{|ZwKT6jy(9*VTT#iL{vVm_YquQ-K;=BF2 z#h3H#Ff;B){erZO0W}kOG`T^Ul5PjiF)LJPN|$eWOez@2=k;XZ50U#Vj|5F+BF7du zRj)@3zH)gBwPW}9XyK+o6=Uv2z_^inImBTP>-Sm!YI+~RQtmZ# zh@G~-iLcr39z@nuCigDj_dI-4 zq3E@KMm3+oWorZ)3)LYuk4@vPe96QywDF~Fb24r7A&QI;L!6>J+u_V^|4$Nr zZwL2X$o>8tW_cG9CkZN0q7~R%sZ1*&f~nI6My;i3k($42s2?I8j+8>#g>H*gl^%sR zgRZQ(o;&{D2h#8!EQOi3?{c}mV}qV;m-BUH<(?_)E1WVY*(}!)?=a)GFTt(U(~NDG z;bB<5O0h-S*VHJf80q#$%LiOP=s)L1E#Sya)!BYU2|=e(D% z5XF7@Op*s=OBlna?ZSf2XoSJ2xFgxV?grf@NDMNdXQ?=SUOmK`23~Z{>_nnU_Yp|w zSNEv%&xbo7!kkk@nRnycil}cKspEP={>GDw9B>zCA18GVp9aDUH@)UPR^ybM`G|&F zAVYoDceFKA8cok@^b2#hu8Wr*L>6-}Hg|f_m0M>F(9YqQFqV+yQZ2yA{C=gzx}f93;7SZ zqK}jc+PfrImwkji;lS6SwyV(KV;6`Q7iDUUgM@!Lhu=Ia`(rKOYM}?=(-ctN1=;-m z`CY`(l5*%K31C?Qg)U(yvdB11ODYWu8|0zi%wSHri0=Z*ns?|0Rc_Bk>Zk#k*RN07 zeWR|Z>T4d1uNW5MIxh66@$eIOO-HTbL=1S6)Ru>bA9rj^JKIjn{3J#s_g?(*{=+~S zH^sAsv?kp5Q$F^?Tv|B%AvW%GMlF zF8_BUH9)mhD!}y7C++N!?v(t$4BcPYoQ&Mvi`L+oAR@?7m?6*;xhz%M>~}8$2<7@+ zYlD_Cgl|T2ZQ+$%*6IMrhv?7R&rK=0dVW(HsTGo{RSg)_vhd>TLQ7`(7tRVw7b_ zGa*Rm@Ic9&+LQSX_Nq$JADl>5l zekaDW-hQn|4cV%tFQfnj!AGwe#f=+$Kbk|(;QMpHWyZap@Vv_E1Y9N0-rBl1Puo3P zG7(ft3VqUj(Hy=R)jdhq!US)L3Tq5Z~*15zaq`$>oWVaU%b>ey6#J9M(koeGZBaM*@uU9iHa)EBy8OdMc{2J z`E?0t5ZyH!FKl_IS}e(Ddz)PzYV&E>Ip=aMfk-6($w4Y5(hSqF z^rXZdGk;jKys56vUORUx)VQ0Y^jqy$M#q7t3i&zvF!8ecNi4tnVPm{(!dvIE^Ktbh zt0oXt+gNqxVQ$H71x21yjb+ui^JOFG@aNOk14ZJ}O~IC2UHo)sYT`=H#VS z41klmM5!TpSIFK$2d^22xhu`^a0rufq3Ja#Fjl$<$yY_x)(n z_N6m9HJI?zFjolEfvd-evM90CL#yyT>WZ@zF~L#f%1?bn11QOr--7~c2Z57OZR-S) z#w(Y0dA$FME)sec2WKpKG00Q;UD5r$dsLb9iyaeY5lueZw7{e-{ccM1;{#+9zC_|m zZYktmi_-y%vT7pOmqqImn(P`4C^BM*rIfDs)w0CBQEAEJtpfC12}xTQ6AnrB?C)KB zmBO;#Gns^ZJ)Rn1*`@L7DBt7+=?Xpzz3umOlDy)c9+_&(9rR5>YZ20yu0ox+if-aV zzEDxP7M`cnZJwT7Ifn>R!G}2#9Zg-l2og5l><-mx^LFWce9rGGEzN2pjbxO8@ze60 z-=Dd2TLd0r3PrfJrC=kb1NcaF0hd1aPRo=! zowgYGPTe>;e~`RUIioj;>o0b_^cLOJVEflvgwh*E(EM|-8u-ln)nX!w zRc+Y969l&iNu|}h@mrU*cqwx$uc zy3f9(*gvydibvoP?d-QKPWzjC5VpO*;JX3iU-Y_OTBh>T$gXZQ;MCg4CN(GBbcU0c zClSp9f(BP^{_@L(Miz4UqQ1|i8qC~)gN=QU@|%y@)D?GG%9|wS9eD1TXrVRmLq9z6 z3nLrQkSxiKuH#~(Z+~7E#AoN1YlDs3&U_SIPR5DVIrMdK84_??MhJ*R)q_<@sdB%2 zr1STar()E_K1M1-%ttdZ!g+Of2>pz#AmX;3M33OH5j?{E+9bUS42nIEJ@%AwnYGK- zFji-I(wxl+F#lyj3S)2IdxivN9L+bU{g=<*wM#G@w8*V}b5{PtZ1!!+73>I}{diUy z{P2LnP|*9mxC}^+m38r^Ezan1*VI#U69ddeVn&_%)V8Rdr@2zIMGP~ap{w}1P^I$P zLs3l1FhgN@?`EVy-CuInK+a;cd;{R}{_p5u?ne^{G=vfo~gN^Q3tNbFWY$ zm@1zy?zynz;?rTjb>B#00&zk#-@oyed+^R5;7Nf)0`RxAZ0e&F=Tn))!IUP~kVxL| zpj>(UyvAV2_X{7!0^^OHAIEs&s#lA>=^rb8^H3~!a$7L$8f6H5^^siY|CTFqVS$gt z<2-X)_Nw@j<-09UVqlz2aquN^6|EU5? zv2g8%rBR^u|Ic^&Fm_gyt!%Y)YQOn)M8g@XE;7zi1kI#VM@ju66}vmbr9J^d zBJGnq(d1nX7c3doDuQ-*&+($39|@q|&Ikr@BYAsV#vl87R?#GrU_Od)euY1l^GV~( z71YnES#*Pvcqq8;JB_}MVy$2iuco@AMg1i7wS{emq=|%`6_dpucYDLEhb|osGo!-4 z{#elGH^%Kw2Q2IpZ+fu%@E$HXFX=JL<&bd}jyls%huv%O9rW0YhivJ@7V%=vVwV5Z z0(h+NzVSgtzu`bc_6G3pHmi#2O~8Ibk0hfbbxX2sX3 z{ByXhwFLXWT@dPsZT#2CvZ{ps{<6I{2!N3PcJBL@#(#eq{s(USxBDD6+y;bK|LrBK z81v=7-S*4HVE~N$x2GU!BYOL9PvQ0pW|6e(zx%gH=Q_F^&YS^eT!0EF}!<%EJ<|q>v>#x}#@5Y$6-z;hii18ha6y61Sh6`tNbqQ1Z zva4;|FkmKpaGDolEEFI}&0g@kQ~31=}B< zF^8?7e}l;0KJCm&0)1?CeT%|rp!~5=={B#mApadT1tB5+ri$qKt50((rAUXQeUz>F z;n4bFL~4Gj#nkQ3+N;$`!M_te@}%bnulNw~Sf!L09PA4TEN`}kBXwTh|2U=OU=s4| zIbS#valAJrjHVCd|%#^xWCU3dc%F zZ*)H=&sMu}@V_BTpxvz6<7W5}GFp<&u;mE~r>B|k_l1Wc@W_ZTrT7KkC@I6Ndux&B zxHG`-V-DgcWWN@Yl7#mh61$M(=lu{f=i#r8Z$uB5e-KN5O^5$PJG;(P`sFDv&*vc| zB*-GnHNoN_qtL8JB)EDJZ2=2fHnXMZe$iFF*Ia4ZmyEM@ihT-&ohI56qsbljG#_oM zMj%ih5c3r)u$!ocTyZM@dZxuOB*;(vJc`PFNH-UQS-nWbg$-M;ZN}4uHz2oSv@b1w z{~JH(uaSM~-pA#0u@+10=YcUF#~n_=L+I>f;N!keuVFNb`{b9K?#OPvFC3Bl_t2rl zZy#Ori*FcXZOg-|n`us7^~9r4(}zw=67gunrHR+MXD=0hG|z;pY&j^rR%CpXb|R-0 z_FK>%BXzZYZHlYk6rZd+Ug37`<}C(~bz28%%fPEo&#-9t6^ogx?wEi5Gx2<{IE&iX zrwJkhkZDcLbx?u4sQLpNZ|i)lmYYUHAN^aX_VrbgMEbnTTBC8q`_Yp&Mz_!J<%FGo zOF1cgzeA%O>K^YoM3VA9Al4R5y^d;Rwxyns5{sRRANAeK4_U8Y7tT-nS}%kpITqMIn*is^|)}mvi^u(+UQZ=I_;2SFwei4$C2%)0UQnGeYUDU=SA& z{!+hlxMA&CqI`L(LsyUc+BFvaVnLPyelQiJJ4{H)ZAU7;LKZ_K_OEz ze}~qBr2+|gKvGCjd%|qm95iEUD}Z$+SK#5y?8=l*Ps!N1*H{Av8#egX1AFyr8^tRk zNtZwM!SZ{Ct%|$FO{SnJsC@$RBBu*=;8=P)5A${(l6W>qx z(S;?yjG>q#2Y6TlksZZT`YT5KRL~-vnmb?SEvR!l2UV=uibfgeN`-pSk#~h0(ulqg zEpE1OK-TPryP)_1t|4vraEBUg9e=kit2_-~w!iua((M>sf)grGC13mV(dwR(Ki5%b z{(y)+!4>R~`6dppq(!ky9CNFP~}o$5XmHPfYDc|tg}gqybKywDO{&=nG}w^{wpc_r^? z&!T5>9uS6z(1*^msVYFgY~b4Iv0JhdA1? zk!`Ghrzhe@UJI4HzrcN@iuvwf4L_B|{&k#Pz>v#?jGDW%-FGW296uR62?^jaSI7@( z49X2+%tq$P(6!KoWPA;f!Bl&WcJ8(|nT=x60moM_LqfBZ2c9i5vs|qC#X|HOweLks z-jntGC1_~_1TCxk;YD{zS4uHzvYOiN#p}`EeYeEIU--GmN$kbN76umcRsKV?b2#Cc zttYhIG&0_?yXT%>4^5B;+8)FP4H#_&Yy()a>V`dlEIHQ1UldQ?n+0zwewYF-_4LF9 z`+rRHUblkp^&QgN_SVhsgxKu?XMNHlW)Wc!v2hL(6 z!`g=1O8TtVlV2CIYMxLRxlYM$CFpO|y%W%RV?|N-rq0O3KGWOzj931h)Q@D@@*Zpc zg$KI2;L|)+q18x4TVN=H9d7gMega~; zkGLzNaLcvDl{sIw{!-Kc-AL;X)l4eNgVv$+PAy*O}XsD7#oa4aVzM;F=n+K z-*=}zQC@hRvkb9-b z`puJh>x*>zXqrYX=N9D>WbFdG4H~*v61RKbTwz$$Yw;4T--9u(xlPnCDPIKj1AY6O zsSoP8=(S&*8hlZ`Ui@SH={P*j#^$1>-wXR;KU>QJ2U~=P&r;S3r>ohn2l|u^+a=2F zGMml`EKIi_3*S5g7LC_*(OUGn-4_Y0$_}2!>ZxB7sr&2bZzis0=X>f&jJ>T^j4L?V z&HsoY@RYhPFy{Vo$>wDUQxK4rbWF9p_?Oz`j)}s_pTob10&tW5M>^i)76l``I^~a8 z8t)Y+dE%uoz}{`B6z=uL=Gx6NikyrW4iQi;8zidmQ0W?08{Gdilawt+dv&%XL>w~1 z39%|UGq`dYkmA?D+IHTfM{UlSqb*A^mhU{zuy#2&joJO-2o}oqj{`-9L(Wh2`f{X? z^c{02Mg-`-i&jofDA^_82lIIk#NCe+9-X1@ObZbjbnv1ixX1kOB%{4VP&7dq0OF3p z%p~2QZu5trk#0!?#l`pgQ1vcijA7$#%HRP*y)PMB8{40Q%iRNnAVTy2WX+FjAD8wm zdSf9o5M25mniW3UO@caGy za4OZG*m3CxmLPTA3FJ7oklsQhMub9QP~fo=R(*xY%Y=CGMKv6g;Ji+mY}`Sd(%Ok?Q$KrGC^EX?z0ae zEe;jI;UJ2Gv%nKFzToi0W|Gv_(rQ{6u7;_L_WOmNQ1zj}tC=pXp;w*{fZ7`Y4w&O{ z0g$@lS<2rBp+SEi2?H|HxW$AmMiI`655_J;@AD!tEO{GHE8r)M>`)a!Ov*Wu3U98d zJX_GxanUNaX)hE@i$(V?O@Vdru69~H>%2|p-i*I`E8knx zag+<;|9TLg|B1f|uQ(*2PqJ+C22{a4TF?bOY&rT`(Zawv`ldjuZ&Yq{uyyQX?H;2l zzPqa3q{3Jv={XuYiyb>3ftWVW%f>nGg^aLQb!=+sTLLAx-4+SgN7WSUp_>m4i@JLf zJqY#eUClyw+34sq2Uiph1i;mN`Uh3$iT|xScW+AL-@oH4G({srvPgMH7aPpu{O4Fd z|9PxB_9u#j#$!Ns>ahE!;^6@!n;M$P&WVHr3U3AUW+5MM;A=~-W$YWrZ5~?luN?Cg5qO~PEqI(>@|Wr#O77RYl@sYTwtXKL zSNxVpw$kURpRV~1i|B^%`<=1wq-RcbunTHFz) zx!r4d{GKsoVsD#{E;{JS5wI31sd|ey;B|FKX?0iU4T~cd&I5nRcPlBt^6BHYk#TQ zYaKheDh}>5Lpvk5`Q?Wv3+=(?l^bKz8GMBe<2SSF6h8gDR~&oSn}~z`K-nTyD019f zi}Gz~Y=RHd@NG)^iB_>AA+Pad;C4mgF?=HXL2M>cP7sABnZ4JS_9Xk8msW|T`!M)x zYe`wcwWn5({4J~)Epq^Z_Xj8O#9Wjy9SsTEdOGuq{^~byqKS1lg=bwuCw9S-;Cw{yzmRrWJx!-bQ1Z80C z?m2Sh3_+3w%#L$Jx5#&D*c!>Z}__~5>_^OfvR`;leyo?QpId4oTI-CiHe z1$)^{5;5xBThcN!w~>(spJ&e^61q3XmWh~er%uI4$1o<3ZCZi5dtrNz-O~M4BpXsk z)=lJ8%(wLe##1qL?P(vXXlt5vuEzR)Aj@`AI3n*p{vROk-g5fyESO7GF)5ezLpS5A zM*G;C2GO=~vWo&wFl_?L4|pkjTJWsq!dXv5o(~rkeA!{`da;9((Be%}t}eLFDcbyB zpmzVBi{tUkn<#n&zIvuaP@qoC(3G;c_is{$d(VDzCRx1EdZ=vm);2;*FEc;xFO9?h z*KN?s1}hSs2ZRV$Zfx!CwqTHfQ|-O#%?hS$Y0&1DQ#@u^RE(kvV^kYnQ8##j{yk&p z6hy9!q_&!QJ|;+(a4;TPOSu|QP#quIeCmiTvxVX1^-G-qzP3UNrsxsqlxRNX_XO{O{1X> zx&pPKpT#5fojXT?VeV_FJ8pN+KB2Jm`&caHtqggc2JtIQi9~5%b+60BeuLWw9fj=% z&tuGgl8j4#WJ=f8uGc78zbjfiY|u#*Qv=RQT$ADAc?_C8q9=q)5GA7W5`qWmcd!&9olz~WHA)TImhV%MgLy60ODHyn#6*9ae8M*M!II*)S%up2dZu=gNU z7T3#G$PrBy^D9+)+gW$!D# z=QRxiSGm>Xw6EuN&Bkq{<;M%1$B1xOZbt&^G)HM(KUn$lWIpnreW3X`@W(N~0#12) zDl4wk%-ac|o)7&=-lFtQkt;v)OhfG)m#*&;_%(f_;1=cd1`$h*2A@iKDD^P_D7j!f=AS#>osBo)?pS6l5IL>+!TKM zi~z^!xuqME+inn2cLah^5SQ;Aruk=4oby0pL)5<>(L!u+sS=3w8T3{Ujui=o9RvZ~ zL!#B}sNox#F~93NmMOggSr(#MyAm>-KAhwJME`4LTZCTIGFV5Q$P&>|k}LA0<%YGH z(rNCkb9pjtI(1gc!GHiFx7BP-Wj<|e9 zPJ*2Bhm{YiCallKCvXC>?M}0q=EEO)%xk~t6z_)F;JMaYM^Isy%9#eJGm8u2wYPHThwIZ19pZq1TeWtcEWcChs&UmckL4k zoK;_{XW|!@qttCK_V8}SgSRDK-)K{0cy)h|(q4*uI|)YpP{pMy0Fu-D3z9?1vY_JM zMZ!SOWczJ(2-T>fKO1`hb&Q0H0}@wo$GckE*@z*c6hle9D~wrk(BI!E6d$gKdkfwsO%&)!1r z%M$1Ny}j9lPeYLV=+0kz=c6zY4Guwrc)z{=YjW*+U)Uj5XTmyToRw2kSDSc=efkuS z`fa-jaVT?5lfeJxb%>$OHnH57Ymtx=_6u-m>JPG-i+0{y@9dpg(5+`Uxd_0zO=`5_=Eem-1HGw z*H{7u0{}8;J%c#}RW*GLQgrXw^yEM~lg|b_YW~D{2BO`xn5D?Vy6#u|8C`iHG4z#x%hd`A+7)x#Xq^>`~2he)-!iF6MM|o*u$XI1l6{B_t(1K74KEFyb z&N+8TNk}1aPO?2lInk!&qt+2;`zdu1u2??AQklcVeaa|M1$?HzMgpI!oy5#_@AZUi zmy-8MJeFSptM|!4f4yzZ*xIlZ0=kcQj9PIZ z+sA(=qCvjDBC=wQ5EwswY_$b^l!d!YVpjpU;(U|%s~@)cFkIwhzhHVnkAdKF$Kouj zegwibD%1-o2bQvc4wl!Zgyk7*yDD~z2f99%W+`@CK_u8qoz(Yk9wz+B^bOh2( zv9(FXG(y;y^ZJ4YVhxsjTmjWSq=!%P*RUz@p9;P8dt>K6AY3om){vm9m(a5^1d^1X zLOvL_RCjQzMAiL=D~wMfa-n6FcG`j;p869|!JVoBJbTK(kuJcBM82({u**+eI~et+ zX38Q)#`Kcw&yO)aFp{M0Z=Q|R8G*S0VY(kwoWP-gVdXBNz1GgSaSQ^92gg%9g7KVw z8p)a#u{vLck3hP!fyZ7SoPL$ZUzziOBlJU9kLJ$aa=->W@c8$(w~0N`xi@ky8@_Zg zK|Uc&;bm1?(`S^BX6N`^*7t(?pocqLPMd{T?}s)}F=Bbgv8LX8I>H^oV;K%N^zdVJ zEAT9gnn$s98r%9Svd4z`6Mdu_t-ZYuu%N|hzho5_)>PJc$R9MA#j*a9C2l$cnsg2h z{SwF`w{pU;et|UFqb1j&L{RM{sd}cf!}lLWK(n2%SOgvy{haKF*D48fY3lqGu=h(Z zbGGmrKaFzWsJvr6_Ga3LNX@x-Ru)ty-lAus-Y|gD-z*rsw>oQO(2+Vd9(^);y1Id$ z-HX?UNbwl8uOgGob$P&#fEanMNgruYvouK`4N7|jm1qT1Cb@)0CYq|y{seqtasEH5Ty zG%Pc`gt%c3KA>vjF>z#W$R9sZIMnvFl~`>xDT}u?kr9v|ugy2{YU!;rR2PIEExy%> zc3e^=kvz#8iIv}>(-LRD`|G^anWKqlxOOfAGDi53aXtkW=4@~NUW180nGSa*#2#I`^8-ZXaX}CG4Py^RXUQe_2vaq zB=xm(D5?)z4aY`Ogws=MNn4RRJ@@-I^rv6T-!S7Yjtf}>O`c=-Km%u!$@}_et|jQc zuQKRIzbMP^OvL1V`!(STixCMt$g|fJ^5;|6`4(;W*!_&k6tod+jYo)YC7HX+c%{(t zFsr@o!%}B+QgMf*z$5ybIDjhM0%055!Ar-0X>Jw_HO;ZEC|aQ690H968n-$f+%4bL z`VcnQ}Rz`d^Gml4Clr<8EhN1RTN@us7vgWZB8WFJLLvy7)ay%rMH}4)@wbbU=`Hh)u z9Ls4m+^Yi(S+fe?2Ck@{Qygh&{MtXEy)C^*OZ}da&5I+G<_;69di3t^A#(7$NR~p9 zaaQN;jC@*wugQ(WNh@tc81mP?0m;Ln9j@L7Q2-Jl0<`b4umuC4t3hFm2zMYLrd9>| z`=#}h#hW(|5$0~+u8)v&KF$WrbI9g3FEA#*U%bqwYk#LGb^IU~5OZLB!HFMhQs~^4 zQ0U#w2iBfx;J~CJat87t)JD+-W)!A~_5uJt5t?WwPu$3$>E8{3jjX z%*3T$S-&PFEW#3Ev79_=kwjD`OAa4TPpQI_3bh z{IjXjD|lgA?_`Apti*=_#&$DRy2|>_%ApJmw zRAlh|T<+mv_u;ZN@nBtP9~weKoli0=M~3VOp7_%w^%WopG_4w%dr0uCz)zDEZFSXk z7&E3}2VYRc_+)?}I6%ytTf(~*Z!GIK-~zV4xMV3)QEs@~AuE&4$HnK8JOZdD)6T)P zhN$b;hpox;&Ya=mu~QT4;FA<&5PD9>YgNeeZ?bgcju)cu{5!Ym|M^ao9zCdMc0GaH znrKAO`TNf|kKF-I-2Zo2*{)1RD=Yu8kH%?UX(9C+I34P$$lId~1joItv9-th;)m7K zLX!26f_6IrU8*2a)V@n&aO#JEvZ%uI6!Ed9nzb-KJB5hfchom#CrWDek}LiEKY9X& z?teST_ALJalTLp<;gA-N(M+JUh#^;Q(rCbhJ3hMj;rittvCOTTjo#dMi4Ex zp?GLHcoQ<(Ycc<1S=xYOGLywu#y2_H$vx!^(TXkpmslTlkD|)(zcWr>`u4Kbx48IbBizwNL_=LUV{hQRv18XzJ2w~^YP(fu+6pS>^8Tamps&5 zlAX>GRsSxj-&B-;?JXV0i)N0#zky-lFN)9n4eol8%xwR6n(LQ^*57%p@yU0N?-oJd zSlyY3??r~rN8H^~-tPjtzj0|*br+O67GyArT*>_|Q=}Vv^6V0HA!ONF_UNE^(8iCi zuZ09uQV|3(6{Ob=?=1rjP)?FJEBG{W-rD7u*p5oXqz9|Ru7ieRPJw(RVg2j-(*W+{ zm!A7ONA@4jx%f90PN`Ts@NYa@aW9k7ooHl+7a;4dgrOqHl}Roh)H?2Aw~M}XHHTaX ztN1VBxEwzgwZW}#`|Oo(gZt1@6D_v{)4iTY6>{Fqp)UNLZB@7zqHfs|afkjw3gf^F zw^oV2L2l}WTC;!qaF&k$ix1#$_c}|vu;p(Lb9mu?%HOV=wdw`^-yY@{(Gfd_BKQFM z`ls*Zn>O9w1)(?H71Pn@& zuK}!Xe&*C&W;)x;-z;s!=^qvu2i)nZKwUHqrMyX&&0)H-p4La&H*TGb&~W^EfF#9Gv`7I6FdZOzSe!3Bs2}lRkQ5lNr!%Jt1fRoptXr#P_r78# zL;;H`%uvv{id7N|6pM-nhT)RsDEPr5rbgJnn_z!7c{goeD|Z?vM(Q9U>UT`FjrUQZJ+z$}zP7PLa-BJS$#s5l zWs_C8K3;#O*lmYnpZ12hHlg^Sbh?G9E0Dh{S|)>NpsQEuuzrt2OH|?Jvs-6IjJCD} zc{-oZ4Wu+=5fkndsPw9K9fw8qP>YZyOU;ipu>)>CNg|@%w*t?8OHNQEb@_u2 zDLLHW?B}S*N0#fHsfoCL-A$Dp1kz_$ZXfDIkVdrhm(5ke?c_qD69l?6PQ@&R8+eL= zweH5P|gn#i@QCn}4c zEd>I`mqU*G#eMW+W_dw=H+kA43vkVUS%6=q1XkwcJYbg`q2Ti>XH!20fVYs*U->70 zM{_+hz@+|JM+}Pd!*|~^YGuE+jc!$O?`9N*=GSy->aV}Q&qSt@L6%X(YyHUY+#r*8u>{!jtx~z$$x_UySg@#^!VQ;-% znC#@oziBSo!o47KWR!nf33D4iMKXRr$s)#5l>jINIHLgX?zbezf8;IJ0ce#XpZ)aSC= zoYVVN|zUX{0vlV-m68`K^7&Ar`jV4%HmI+?7-tPck|je8H=>1m6yB^90@CR1fr zOY`O25AN^kLJn#^ENf=G{YbJpr#qy%r{KRu=V4L%A&=7=*7m^8~Nrs~kqxR!f zbL3T7XTcoHa?Q<@j$HEo?KE<8o&Y|9B(!V%X{P1T=g(9IzV-Ps5yv)+NwmU}Z>yl+ z-mty`Go=WlnP8`7et2-h7n*RiwE1I|5_|nB1>i64AK-++uTNGV3=~==ADq3g4@b}R zduZvz17OWv*5nHe$`Gqci|9D+Ir9;PbV}3c&f3df2N!B1givsQ!3up@eiMAAK3k~y z4>TE{-2}b6e!~AqU()^87=IY$C%fiT#_J${jer3LW~j^?G(B2aO=lE2e{Q=mdL#q+ z{YVBfL^-1?JLf{l<0JU<0jRK}P_Xr>wW>Gw_F*y~2^Xyd7c%*F|75-(57^}e35%d= zJ}!W6G73JDA*GUVAi1%1Wd;q`hlth=8<9FQ3pm}J4Im2i~Kz5=3V#OlQWsZ&c(xywM6LMnr z4Y|5K&uQ0~7O3xfBqQ&mr;@fjrzs3&r9ALjdkF%=meuE~LE}Xz8G4(lCIRJmX7Yoh zPY=)Ym%f-2<;21*--->9yVdSNC5iu_IL~Md#5%rIWY+m{%wju!bfjZR zsxXlwp~+YvG)aQ5Re@?8cAA=-{*MA?qr?0^T-J{;({}a}H=cHc$J3Yl@KyB#(FCy{uATe7`pO% z>Ltig^|HG=f3(Uhc2T=v%zKckaaZhz*>U!gzepS_dQkpXYui^ywGo=gikzG#PNQgKDyQMOY_wK_b>Dpra*o{61^&3D zJ4r&Uqqs~xII*Fp^J0TS2wpWgp!rnkXf!;zl46=DF9(=Ych@dbX>l=a7}x)x?yaMu z`u@gW2|=VoLQ+D9PH7kfrBPBEB&AzIVnCE`=^kn628p3N1nKVXhGAyz@$-G2=YD_d z-rsLMcdff_{JYubFlX<5_Sx~;ueWw|vKBlGD_-<8Y5ZYjUC&30)0S8!YWMDy6OBkQ zb*l6)2naGO?`8a{>Wwj${GmY zdbC#6Y`y8^duCuT=W@`BE1doH8-QE+%>c-%$KO5S*r?B_`N+w}8Df+_h9bI>Y2I@z zrtmR>$LU*D`( zT2vK0uMM^@;<3*S@7$Ka?x&Pd=h zL6w;bklCbqlHfrxJe!nf4$jss5n9 z^eRrgAf-;-Ryobo0<_Y=o+gy=1Z`IY#wf=g) zxIaMrWVf3BLMonB*vVC#P)Z7)4WPzI+Eh?2@_O4KT&a4Av=dRIETDxzJQYj4qcrF1;- zFz8Lk)Rd-4ieiv&9SEUo8ZvYE+DYU9O*& z1)1e#x7*B(I>j%h0bLd=!YQ3uJg*$eA2~_gN0|`Qln6(#r7Jls7q7?lXzB!H?busj{NL1))r;Lvg(+l8y zED)VBs5+^OkrkBvsk}m5yWUg|y~n8SYglpFcnvO-;<)X7Mi;F1@5?t%4U}9F$@{>V zt=xQ_dd@N#rv34J%KgWKDTrRa?EBxSwV5YFUFwjK@U!d{t?Mt+&ijrsUwu$nGP0j< zQ7ae6^iE-D77nl@@DS?H*>S zAi-^Kyf}~!Ahh2ACvZcnh`E3iL%_8GVD$4f*xlj^A}$`lv(!GF<%eXSM$1T2m=$_= zd{bT8WqEW1&-&{_^W=NuQM|ix4a1#+nPc=n%sMfH>E8Hm8`ydte4(R0UExeu)di4J zgWxp#vr>J1eg2+SWGtLs_UA%m{I~@gx&APA%w;5gFOgxEt->Z&$!ZlByCSvjlizi| z%PE)wg8ga^ZGSP=`FbUYyF$Y;)ft3i;9OgfL;U(1Fb<}+2p|l~n)5epPYNN1EcoT$ zemPbpAhq+^ZHRZaU7B^f*X1NV{kpRFW(x5J4>Zef^!Z%Ky32T1tLJ@6y854Ew%p`u z##+?WnHQ!5`!m30ZVMTqQXCLMGJ=xjUs(%#{bAod?!DmcZa1i=<(;MB-dEez;n%Cd z;PgLB=Vw`bzM?5ol3s^N1HH`0WxCZr>LGK6sP#vQW-=lKx&TX&#vTXmaKx|#ZbXOr zXnPO$0P$XaajKYR8N?iJzR#|--Ap!wzyhcgm)wtTXMk$KWl|OZEOAAEX#IYe_Z80a z=L+7BKd(KFVqC!<<&Mcqu~D|?dcgzOf|LpJK#29f63yKRlI=vz@%GsNKz-Byr*JGs z6$H*E)&sujL2RL1g|h|l(JtlfOv9F<30=Al7wB|ZupkWqp+!E)hrL>sf(^{Anq8S4 z1YKP}A|N|`BPV_Aoas>W1=z-OKf&BKl9v`taD~)6^=fUEZ?&&vSy`8cJh~a?bDAsG zW3|~Gfem9+nYIqJ`}$;%q@g?0b#VlZWzhQN%((o|M!~dr3L`&>3tVv*&p^kBS(>)= z{yCvCaH10$uC> zN$LHQ8Gj%45dfX((VgEedLKA9sdKPWsG}&KCaBO?JRBVz8NT4Qo1H`!2nH zWiJ)#a#{V%$P74brdM&MCZlXmr&}N7>w(+AqLx4LfXd%xo$miACe7GDfqnA6O%b-9 zYR}x=9;8#O$#(g@WG&vB*&%uc?ll$IBdCJ`d$;Z~^TvnbCJ$&l?xEt|rn-igj!R!y zA@MC2`Hr67rV?oktdvZvO>ygNP}PvFiurQ)U$`(}3UO}q6%P+Pe&H$&GJ6p2cpg~e znd{+r+r85q-XbCXqqy{E#1pCmFywnD{{`&wZ7~ucYs&n;K4g(%W#fGOJ&&V?feZ>i3VP^aAl$uo`*;KIy6VzF&Ng74QCAHCtTo`o{LO zMGJGy$WPUaEZQdfQ*#aCCT)KM@d^d5Jw+9xxB(W3fs2*UU`BT326nxP-0K)O%Pqy1 zQd9ni7+*qdWS+2+_4*=YplT3}H`(v&pMq*w7EvzI3xdu_>Wmz8+OZvVV{|L2($^94 z=OsG$C4{CeBlYJ|w~`B1{IhM<4vuu*ht9TH% z227-1s@WD9@KM|Tiy;?j>-0T~v7#i=uuo93G#dt3?{4S2zo$k&){N3M;)CttQ(MT7 zzemFeqLtUy>aNR3wgWnj^0qXmM6tiE+rc!aWAyAdUAu#@{?i5U^Z@`yI%d7?%Pc~E z9!3QM9l;j_PI}MHygvu9=)xohO8rS(m#Em&@z)Nvk08u2Q2?YS;PhvE_(thcZVtrw zGNu4G-fvg(7aX5?Hl6h!n>n@3+m}to-?SQ)x^V8(AUD!t!N*PEq{5p+>F(Dj#o8*~ z8=2l#SPx`xU?Zx(=Ggjqsrln8Qwed`!Jq4wzb1W2$qiDGh{q5UjChGwh9~vUghMbp zeY7>2O0j2I1UNK`CrLWaEO}1b3we0YD?~cDV)6`2NSTX5v1wAfa8zFaI|+aGk8GK9w;4>S-!H}>dtOU0&&&gdIBL+BZVfXs!SxyG-&T81{klSd~ z^7(iYl}fNMt>y!)pvvd%-Bo*jD74W@Kc;C)T2W^ZwkJTFqZ?59{{jU5u-@g7{l9ei zMVEbVV``w&5@gMMc-;$c+d*;prZfCtqWSnOz3S(bxExe@Y0J}c!IGh)){iZ`-Tve6 zm;?Xdbae(#A0T~v*F&DvSmN6GZwb!UIS10zeUO$_ZRRfzi`i9zZ+{@G^xvd~{Jut26&SFR1YGusXn5 z^s4B3e(SJxosDu#5-WZIHTzAc>&u(64)=--eIpCEQ$xwK$G5Q0_)Z^yd0^cEfElFJ z*<~e|NO@6V#ecAuQqYmOhfvuRwNEZrFwLoX@ZddU#RU&UtSFcr(I9=##E=X= zo$KW+yI$%q;?f{jg!V4)&Y_UKuv?OmkDe4CuX)AtoK8J6N0A%jN%PKWLvB8Y4R@!} zr0BSP4mI=;4+StPGh(0Hm+JIM3HnIM3RxE z+^yN7Q|Itl{7(rsHPK!&rt*}sDQprO?GI}L@3mf04twj&NLe8Fsb1M#?jLGM`!16Y z86~yfQMKU~Q#l7@_+xZ6%BwODfR1jvTn-bh*cN}r#m`_a(-r@Et-%T0EL7#>u=1yp zz~uP<{15+7_T#MoiOBza|NmD+{<=-G;Pn%2h{YX%8HspCcz_IPZ*75bq+FCwFrTqq znwAm%@MB%qSI$!Xz1o5m1GdQ>iVKvc zze!yGJ84XCp>ly!Y~$WGoYQRp9&3LP5n#)IE;TgB*DEM#u1oM+wx7+uHsAEacM~?s zVo)TXJueNgBI$#twOyUGN~<{eJXKL&WgU>mzSOkvo^*vAiyusE9qbHL<2Aq^0Pp2c z@zEe|LVyT1Cw@BNXEXU#?^bXya(f}Pz+|)fxYk1` zBqDR};Jl0O=~OjvlwhFZU)Edq;(P}U8-YqH-3ij4fREsVpTY=^VDb^9AyfkTId_vY zDi!$kCjV8EOWZy<};tjbZw^^A<+9%vN_M_uk6+&E$KLNgG~#w zQ)M2MR6sn4Vfwf{wfE&)s5kuMS5y*k+FI;8i}YcS{-|4$P8*(OHEIX%YR3vQR%IjS z56{~l`LAA>AW8^}0JJZ5`biCZJgt==?{l9*2<$B6Xl?0Qr?mH|nSc!C4)+`8_N=t; z0m2s5Bl7D}jf7_WNXXTa_)^)A0s6)51y-E32h7hXy8wN4XIrgYn9fiL#f^xf5T8JUUR>)Byy5*(VdOXv&jA{n}%nv`6X{h{lP2~L| zPas(0YH5P1fQI!T{S#_F?T%h()8r&E_fKJKXFKmH`7X6$loEFNc39h<26dM7NZO10 z$38MCQ;_Y%X6ei5dpS_AETGL0D+WH4R9ODdx2> zv7tAaUiDGCV$9@R!N{7Le`ML~LSDGl^2mg`f@Y&{zlWL{?2rLd+Wsn3z=*ULOdU;z zH6vC;fLERhlQNhiV6wVNX4Y1N?j!f5hLB7)D9qrAcwFfU1LPQYU_4F+#|Op01)ADm za(Y8G+N!W?dJ8WpsfXb5?txF(ic$L&%mI_a$FK;;;5)VF;v)I!b(FW%E+Cm6!!Xm~ z2M1$U%l+yTcViJXlSVcuR$Qq-cXxy%aUb#I)u zXmEtL{lI!01r2p86c{AS#>!Y{S1V@PvIbEwFvXWDdU!p2Uiz4X78-~VQiEYt7h)mD zv&0+>L=IHgAuLIRP7#W2kmCe}#-{PSX)ZZL-PZpM+?gRF^IKCEj@Kg(qw1?cG;SpD zf7-h#%s6^HC5WG#fVl*zCH820$VtVV74_W0C5U9F7R6_Hx9#KZTeke| zvIZtnGG*Iur*sRdet7yU&$QZSoqksP3@-H{B^4V1y`cD59%rm;a3=T%HQ_sM5EHw( z1WC+m;_`Y)Ok|8TlY{?FgTjb;Eq{|j7A}=K+r4id!jRjFszSa9{}rHk{vJv|z%bL& ztm3Dg1<~lt7f(wx2~zJlK>~VhJeIb0Y99@{f&%iR3I|29g?6;p_NZSdMA!N5v&XD=6(2RF23M(u+Vp=K3yvJJdYCQjU)nO#DR+h!RvRQOW0;P$Dfr? zMGKzw%2^t)h#n+VHUvl@@n^vLXLCJxo5!zMGsF|0VSe#-0u6tZL4P!UOaJ!${cONw zKL5boId=l_n*ie){}Xv7qj_P$uS$ygGx6JM1@iA2IP3nPbcMgppccMyREN_XB_+}* zlK;8cP_2@t*Hd{mvw3-F&iz<4UY;CdB`2((-x+N!ou1)&{DkqN-&I#1x6Wo_RJ4s$ z=ak|vq>Y}AtxKKf_Tu>V-f7$#4y45z2K3hY>9!58o*G#}z=GzaBhVUnLW0Q$NT*Od z@IzXFIEy%8wD0svj*JW-lQLRG3*~QB51w2I6G`kk3&9+7rA`|Rq_vki_ zl0J?TKheQVBQs^iYL!}1U*rW{y_o6InPM?qB)$yH<@IR^B+k&lN@%>t{=V!{`F)?s z@N8_X&47vjFxl*fXWdEaczfAeL=`vKieQU282++Y!Z{griKQ}t674Z(!U?7O&{rooTV!D&Rjp~8WpFPBNF$eNO zU&@iJ)EGgcJyD7i{1htUmADI)>Hp09Z2I`n(LE(h#f$QQW`Iv4Rc_|-k2nt9UqtGf zzNkjTEWcPPo1heS>6b$0YdttzDBhibrMNxy)TWbNBz|W!aN0D8Z+E&p{_cgAdJAG) z4F+7UDY*Kt>nE?X{DdbZ5*O8%E(coUO~>C(FgY%wK8%KCSapzTEkiC5*Dk+M_tc74 z8p8z@)r>sjPGW9Lmsw)3*O%L!2rT9b`eFV}&5To(&-#@(MdQt@KIkGu#UTNhc2}JWiGvym`nWr<`BQ!#4D6)#;|m)WFpHj}8Si`8GCNOZTZ;mO92dxujA`{Q ztqKf-z7BVsbxK>q32q*n%i@nb*ySLC6Gr1J%rAR*Sxk?QvSY?GFmCM1;+nsX&1h>B z!iBnmVj&|1yfO;T*7BDHp1ZiBOheJBx+I-VAkp~OwPi1Zs|X45$POVPYgfVVq2Yn6 zrW_At5%YRyVGG00e})<-<Qo# z=Zt@Og{G?MwbddG4y+Idm zC28yz!X)h%%6nRsd(5I~bU6u-8nL78)H|Uj??`bcu8y1Qr3(c9OpIn}&z*bh4Pala zn84p>f$tSOXx6QLJhe)iE|xgQZl4%!5#^8Iw*9tElCf5Oj}F~>TynJ_sM;RwnD8*oOO;Jqy)2_2*> z0q0HM%_1Vz2bxNLsPP}vlAqJGXb#1+9!YX3)T3hs=){O zP%Ko@o3XFY(mI8>PzvWtDAg0AXXWpsPh7o=Q2$bkKp>RTG(V^OO5)N|1e$v3a_u(Q zTOM%_ebSL5;=MKJYdW#&g@Z{l^tM$6q4P;KJ$$E3CRmLoAu((8 z8L}IsiPl(4#JoJjCtb6P=9M5>+naoGC(!(Fun>or1vw!XOV|rj3b-*mpSx)2uso~O zv`QE+5=S_iB($eHt@K{$UG+^AiYF)s89ceRTcNKFIv&|2Bw%6gb_J=})I@}hskOIF zL?$&X7DBkjUK45W6JAC1FvJ8$ZOw)sEISie6sAsF$1ejBg$U2)BRvCKT=uf2bCtai z`$)nx^B$1>hAMVSh@lVi7e_RIif)ISptk z+3jj0JT%cUwp0yOmyC-!f_D6t2;q9NVfW_=MNq?(aa~vn`;0nH2cCBTf?G`1*L)C5 zIQ|i7<%U*(lHQ-IZLadyC(}=bqwZwm7CCd@Xn-$&X(*~gPFA(a7@s_vb0`nM=J&%( zhj#f|5U_X}{X`1+jYy6!r#EChpJ)B+$8-(*7^w!9!DWwaQ|w%GZq%? z%3LV{FByf@Wpt@{-3(eu%IIFhE>tM)aPbmNErgGi6l0pO(9O zy~%%4{QgVv1WYE_1$p9AA#{qr>G7=LLaj&uSGRl=1maP`I}F*mtU3{+R;*m~if!kLwH$-6~Cxk&8Hk-*LHwMvVuLYGe}a+RueFOE>oAR+HmQEtvl9^pu^DKC$G zL&l%1t@HbNZ=~wa(?&~{_3r(5l2glr)KoN&A|^kFq|Yq-?JDtK##`w+FDBeIZE%cS zG&M)$eEd=K8RwH(eweCiEWhpZJ3@mR9lK~wV~$oE@7kzdJQlv|QyN7~3l@KG8AN$; zK)*a4pF2({5;Quzq+~>-5q78s8GVOzxKk)lPY)R6<72IJXpUEl*nNx(qQe%_J$)#Q zJnVocg;6Gpl!C_%wdRjqQbF%qV_=JvxO$DCu)FWu<&>Q)?>XLx;&b|}rIks8QAR$Hwf%-9BgJbwOSS4QmeUg4Is;Rxbghf?VgiNCtvPMErE!zR5G zHtM?=6I`KI#PXA|zV|`_9Rr@TM&@tTMcRSaqbFZXEG9(azj)#_5?{Izt*6b0kyc|d z$QSCv&x|#! zTDPkDHfgDGlBIm3|BNLRlbKp3>M^Tqy-$0{w2zKYwWHn~}!ulX%8c!{E$Z#+vZJ6MX8V2jIGU(tu?U_MR|tc4|%)YG$UP z>+<^D@6PShJPJ%45>l0s6w*Z7GwW?7Bi!E9-%I#iDpO_)GzF1^+*J{|=%vqP4%Qbj z$c-L}()1_pI(`r`jtTcm7aIs(?#VVj7i3lq{lb#Zd6&EqW%59ITv*W66l^2-0@PxS zGe9x0rxx)Bn*Kxh(Q@*fP_5vLiHy3ql%C@Z(= z8$4s*J@S-iJ~aK1Nr7-&?JYqWHtIaF{pOCR_9|HY(7p4kj>^Ey!0_h}-z67eZZ-bj z5c+`;)ZJelt7*Hw;7a?`zI5S>X0oQhFw^Pi^s&>jw09td|GtE?O}Vm|TP_K@XK2Bh z2rq>a2=g}Wu@)$C2@rwlYM(5myqqTbaB`$-!~N{&WD!-=A(4`gJB}5^Jv0L5IFbwz z1pIq-r1kQ~)%3TZ;7r~Njpx<)OOM1DB4}YM?liV1*g}LWaxi8`rlI63vuoR+R_Y2I zb-W^ErRq}>RveG)SPYLi`8KH`U5QPf|9 zdxJFe=*N>NeW3|-nYiNjWF%jBWE!i;I+{HjRX>u4I7xI4J;GtvE>rYWjCv%p;@n~Q zFfvcb>_uJ}>mOp5w)LOm%=R2DRAQ`J$|+GX7<4c0er8u*SRUkR@>+;W6L=bErRM$* z7rZupB9Qpl3>cyC^~0bOQj(k9+|Oy0gXnD1&KOk4dSz*%Eri0a&G~dH78=IWRzu#O zp%W9Z>|WpVe*~T4=ec{;(n#;9=QC~i_p-QRGbg5@l6FyB;8bK6lx$IGGeD+jK*B4l zpQ>YAC-KBzSFzrCDAa&(n!3O!qUG}@9*eHf+6)#bYGVS*+%mZ~gsD9D@lKGtMb&z| zaCv(g6ae|Yj2(=BHT{4hKhU{|8d7@7bBieprV{|<1(f*7k@l#;T<6k z5oW`xM=F6i(r?!7!LU9mr6M$yU`Crh8# zPkDk5BH$h!^}pX)IZ^Z^x2IO}1e1VOBQ8_k`gsq-VG@sD6h}I~SyBDju1Gv2$<<~aPJ5Jhmm-3*rTXJu1rC+94bO&!($jbfY3!{EIV9NPuus8s`JVXy|l zbdvr)7H{>{qn`1!%J1s`@tA~*uc?(Bd)_KO(fFr|Ymu4cJfxR`n*Y_}GUxWMg#L$= zIiv*Vhv$3th5vPxM9n)RFBs@EY~^-&C3@A9@r<@TJh2&l#%Z>Ft=iD2SQ-e2DBRlg zIbjo@BUENLMLeMXK-`yl5B->yU&FT+i|>s zYp9jWR&MqeBp?HIgBctsls?jHk>kEeJ)@w1xeQxAt6zN(2c;6!Rkt+yVnzkI{=zCk zxl}`TsVc@8`*j*d$X}s==oLmj3?fwgL2vvxn8(}%*NdIj^X}WgbPPslZzN|qFjB@YJ>5;!6De!|8R;cap+AGBOYp__qkIHLELl> z$Px+*I}Q?;o=^^ppzTshl=8uwS<>H;hcS&RG~cRqM}i3cMVx zdhdm(SLX`vj`nnhx#oZWbWYWh{4Uchhf=}>lf_hJBH*MGlk+#I=QsBZN-x=~0O>qJ z#wf8n-$SukD9Is|@I`0_G8lT(j&=uE^V_4}CVR0Vpi6)9wjl};;&t{R?MbDO^H$S@ zW7M-dR>EvqN|D_+38^E@ft(I(m-YI|IGg7L;0ZNYoq@mKc`~(A$t?y6A4Z-k>*FzL zoRFfmhOEVWal}I!HzyiCGz7+~lAMd{%acP()c2STl9%r!+OXiY-J0qI3irZ@WPFwQ>4c^$)9(@ahsXmaeK>tXU}rcI-r`U?aF7_^;y4? zt{undI?5^EnoJ!Z#JDS_)$p5xM)$rr2fL z0ohaR>U<1iGNmmV-6fd(J7oB|^EU)sgho-61ufD66;O+FB`<9p@-NrjLDj4)!Qi%v$mZA%FT6IdBad%$XrFhoEAH<*DH?S&r zNqDuYL%}e`UG4a;fTQ_=Ti@Eak-5ENH;Lf{tlbYsQkgO!f~K9

Tr?I9n*h4QD2y zD~TAATbw*`@kH*n;%=JZEMJDtPrSz_#Q%v%8j>V=TDt&}p^hbEx(hW4=ik`MxndSiO7AS%oSlHxq zLB9#9KYCCQ5v5;D`CHkl$XGXaLz!V77V2&RKLHQmLVCODT^*u zoS_!8g~Z`5IPr-NY3oIB{Mw3dn^3nt0XJG&MciMgjmjT}&y*My(8bbptS#(2p&MKi zZWEQS$-Ah=pyGNRU$ELYyDRCE$fT_i9=YK7=M*uypRFEX+l~be_&t|7YCzT^XT(T~ zlRgmQP6IEDF%YF3D+|2IRo-lTNzQ&a`jIx%i(};1Ai)^i@yq)%H%U~Gm))Zo?Gl4f zIb$!6LFfguMWeEW9Ms0slk)M?ZpCz&S$0pqF*8Q=mZ~?+uI_)b1|xL&+RnF|sRY-{ zpQl$(EzcqwRf-dT2AB2Sw~mhKv2lO#^^waqo_ICNu=pwgRlPOP3L|ds|)^S%hr+=6CuBy}y^E9Yv`Q(i8)DVbk+wIcuq`Av2H6HPLk1zM8$PY=inAEL#AZUQm8uq(3q2doT)HzIn^pAKjhJBQ_hT3b} z)K|O1hM{DX#d0m{H&ZdEZ5|}pkzpWa95W~JP`Uz)z?uHkBF&Bprd~(hR7-)evelg7 z0CTK~bMF?a`qj3v(A6;SD-@FYpt1Ur+10ZJIe!w#cz)~}IX2jVSrkFp?4QV1x0d$y zM~}YK?uQS@DAMv^!`NOpYzCMNKLi`_SOzj&Kq2ZE4=e?!;4PJxWcquef;}#4BA+q* z{P#%eGHt+lPO_PI^9Q5QWewEt%Pmi~Vlp>k5>;jx`;h9$Q)v}d-b;@i*ga@O)dAe# zSzh1Eo5cV6HNih+GqS+4nO-)%-nWNG9E(9E@|-b--$OwJC@$eDQJL2pQnFE@k2Z!3 z)kk(eOGK575D|;S!~%_3#5F12^_NjJQ}O?6w=y3(QjN1Q14pQx+;77JM9&4ztZB~ zA%#cEi>>N~K0G~j)LR^po&}aG)<2LfLysZ^9B#LJOR=iTMz|JGTIa+pyf5tcA&#FO1r~9YK znyzd`*djnqzzIEv%M4MhAzANY65j|uV;>NxnV zEzkUMua-Y6I)QraWykNpgmGnwgJ+Q$b_4?2<{DsH#l7Qc5?!`-dLkw_kTt48VS;&R z;c3wi)M7hyBhCa<)SpJv=@0+FB}e1L2(I~xp;d{wHyYf4wvma=Tn1B7Vt)om%Ej=8E1 zxGa&bgBHG=4EzR*D8B;ua{QBPDDsM_^+?*C0E_wNe)~OfS9vE_Ip%>c^T+`*M@E4I z233|3kx^(*(bK;rs4Xru@kibk;v(%H((R`JFgvY}vq`rysey)Fl% zDS8Z*=R1ZO2nn1)7&M~8KrLE$HT(l+Jhn_}HoXN}(dnx1*RgT79Y^|$;5FOymL4}B zEO+Sq`2^xUgfl?~LD+^r>U0FrEwTN<{)L#Rmke*mx<_T^Q*8;DNJO7^GopJf>vXA} z$>9khTU%C0kU#(}gu^c#x|iNxKl{@UdtD;#>~-nziF(TFh+wRSUrW&+%EbZcg^kvc?5mk zC^U|C78rMAo*UMm$8u+j?#36sqy&vI^V6e_{2GW=+{+)_8Bh*(vw>d*sLzK8)nIx| zjM8YOFes#-+7jI?kBOC2#RLAm`02QTmR{;VMZlY1qzBf+QejHV4N_2ZzI{~d8HJ6<9Gh{QLrSbGe2?;yFC!3Of(ZDul*2h;=ibkF_T?{6c*fPEs?I@~4Z zo4SzlflL!mPe~(ed>@%cl4`!9tvG8;Fp*0CIUhr0kg7f>u0 zt>VZrzM$Wx@f%7+waRN;Ey4N4(n&#&$XwUHKkYxHhEVZ$q4;E zC0x+oEs>hz9{o^!@gbg$64RlU({$q#GzNi;jkpE6W?Rn_MGMrvJX{I$-4N zhO1(cMsd7gC7ECfn2syQ@84zJoV}4xc!t;VjbXXw+VIiK60}^^m`K;k{k@0sD-EPW zGbF?1YcmvBU;G!KZa@S4-l%g&-#I?(@RstEIvvI;#ycSiX`-CKwZ@Ih?^09+=hW}6 zi~BkAfO6#32vc0`BSOn@$a=w7%w_4tUV6rova1@mdzQ2P^L;x$`x4~3g-AMhd=3J1 zqC#9^#-3q36a#MdVsr>}HkV+M9|7NyCv{K79;U4`oXg`9u!O&Ub+d1~qHlb*7F~$$ zhSbS1GxT4z6vp$tbLT6K{oPQ3k=uTAnJ$GKBjs7gT->32x@~e;nHbSlY z;-BN>oXwAG!e|t?Mrjnwl~~nOQ#f{1)mO>M6z^75tL&7blM{B7Uk#>*$cNH8CFt*R zrD$kA_IQLhH7ofpW$;GP;gtm+U;e+fH96~qa-}V@gpNGs;D}$oblZ#0aIueU+}|>c zdTRY7Y3m$wmZsEO|G7_691S1PK-23TP(N$qk4&5YUdBTEPnyT*Y?hMIsg5kHcn3S~ zSQtvYNnlZcGy&jOM6WC8Pd3#6nMnmJleoAzy|#}GPVo4bKjI^m0j!}zPx>4(l3$|Z zfZL63;uFs|iZl&Mvt(kETK?{kz8z*MrEz6TV zT<+fQ*|)(8o|7DL3w&PZr(TTqOg$^AYcvCpOfIBImhSNv=>v&jGnwrof$*du5u$1u z@!+_-a(H20<2Fw;S5UU}Fp_PS^w?q-;ZadUG4Rx>nd_z9wg32n^6{`DtvjQu#ig>jr#2FEeq1xg!~NeT=?lY z(0mqK<%Pmyw=;=-B?M1RxV^>cqS0!k%OHF(&aWDMW+H_!!tE97p5uY;wYrC%Q>L%+ z%t$HsASPeQcDC!&f1~=ewV4(2{*W_Kc=QP1*20O4XH~#L`;}P} z^1)sr_BcV2+J4fzx8U1{riy~Q{MS$nJZ$f;{AMa5UAfMrBx}^G3oy8GSAFuMcMfyR zh&uNwwFCZJEw+t?>4V}s?v!)ywL$LpQ#9MG1zvVH;+xG8uJCY@6s8zURk~uwhZz@#1d3ovV$rXpp>2FTuzrS~&Y95P zfueOaeCRH4FJr0WmovG2DOH~ED+rpB@gJnnR}t?$$4dG&B=x#}+LzlQDKB`Xbif@p z41Y1S$LOU==Ai^it|5)n+;uCl-EXPgQbI>`L89S#bmX9hwesWRs>9uP`>K1ctStFo z)3++s&NTa5a_*+@nl9&-rg9~QE$ODO3Ld)HCaWy)eL`)27pYXmWQuue*EGe!nXx^r zQPgmE`~3>WT71$;-(&0pMCa=EP`E?#HfWW=?64?1gyM@tWW>0Vg$QRXg@dc?61t#n zoxuyxZ$ZJqlT`0Zbn>=CdKPE-3&-PmsRBxbtr@p>%9d~1`zhEWAMiB8&%XRx0STbL z-3@V#(t~|!cCh@GXJi9kpCQ_#kCh2EBn3EH1OSS^0%ydy>%`|dCe1wCaf*wDa(uix zZy^>dGn`-k2m+sd4y~mcP(LbPMio9;-Qu1p^*V&yfH!Q^uP3OZYg=J7P>vg-mD}GK9QqI9Hos&Xp%BMF^!t0As{%^L6JwL|;z@mGF z8SK8w^j~eCqz9~9@e!cb++QEA-EumCicq23ny63OrQ`@4jtsoV#5o(4b6Cn^hm5O5 z(a>*Z5_oZ1fo4*Vk02)(^MaYl?TCi15mdkZcsVxb#b~k>;uu=$Mrj|Ea5{wJr1^C< z&rrPLVK~ZZRJ_r;`ecFky9o)6;$GJIp7tvyOQ)@sVA5|b@LSiRcMuYr0A%1}g0}Z1 zC}L7OoV`s~yC6QpGaK^Cx$4MSz8n{jSD9I|A~`8{j9l!4$#}FpGhr#K+1{u(5bC=R zki*4?h?qXRKDk>E1r7LN2q7Z}?ZtQPczI2SY@&7Wpd3QwSh2l0%Ey5NKJA>1YLNB~aa!}{p9THehq@}P8A_>%b-1GqAMKH-d z`}HtZP5$2fs>OT3Xd1T5&Ziro3#yORUVJ#8$`Qt`E1&(^DL_Y(&< z&&g5FTtxZCUTTik!u#ZA_XoVs_jln$IplKpS!<5qa|ZPlJOZbRsLl3T;8!ryotvdW zb7eXen%r-CPFpFARargDm|bTou{fv%wc24~be}cP1@~cRw7Dxfh*|XA-q^G8+Z@uR zs2STVJ&9=;ipnWl_mvA%UEm(|OztM*Do+GC)w=IDGl*Z)_^7>)`W<|}4!(6)?2w3b z(V%(Ed6}e#FM3jgD<#(t=lOtL97X(U!68+q?IWuzIOv>{HPm$u57#fsFqnAs3&u#* z%SQI+R)psbL{j{oBR|j^V_tZ6xPf?&HN@dNviKA9@VVh^v;G6`Z3WcvsJQ$kuzE6& z&}8gjkq-m?ts5rX2itrGwaE8r@h~PO=B+rz{<4(I@-vQK0C^Q)EIGe-jueBG-MJ>c zJ8MD~8K6fDQCH3q&w~wq9G$M;s$}`D@5oPEIDh^`ApBW03M0zm#Hyhx;mXnMd2H19 z@7nfN+_arSB9cvs()i6g07~r=TY_tdAurv1 z<0peDM0hd&H|E|ls?F$a_oTRciv@QI6n6*^DDF}y?xn?{MN4og6b)9OIK{O<(Lix; zp-3t2?m+@cPX6!AS@X`PS+mwTYvv<7D|uG--cR<`K12NYChO;)!yBU^2wX{8e9tI-nLruH^)IHP=A7%kYW zG(EbBbFwJEQXnf0qhJ+(zmGndpgIAKZdxUMsdmDI%l?f={UM_Oa%wRp(| zA^K!l5XnEmbca7Et9qPq>_}_7SuiEC-CMw8O>2QSl(=>q_ua}`Df{X`%{=dGxVhC+ zr%rZz5rNzRyeM1C*KO8<-Jd=4(hoe>A9Z-;#sMjtq76jjxUq&C=O~YhW->EvJlaci zl3nn>Im95=f0`=yDScxgMD7SY^l$N1R2$twi>d=26hNysT)Wh1C#L;wY zMmf4U0aUnP?0wJzuz_+$RKyjqSI@4&lgDd%vCoKoh$)WnM9y>JAH4ae+dvcr;h@o9 z1KNE3G4(5@+4q*Os(?IC$zXc2CnbtXeC2hENCBB=e6-uFyX|R-l4Ff9>nPs<@Q2Rp z=`c`bSdNghMcW*JKn9Z6E%s1?YFy_zi!5pF9N0UYV-ZWJ1j~@Y6E<(j z^0_{~0!Yh3`j^53$1#S^$8F<}qCS)(J;0eO0O>3cqsnPsXp<=xtRVDEo_vHf| zw&+!k>8dZ!&WNycRA7lKq7OyE}sOWZfQ}MCcUd2NW;3Y{`;u~J5@3poQwwB)et*BH++%72dHn1NT5K3 zcR-)ecB?n(4j+}q=li(QVm^++tTaQFU#Z1x@9Nh0(uD}MXM}BV_H8vNT0-UFM(HY7 zh|FVVi5)s~aObk}q<&Kfy%**$^?ZC8DUH4@Vy7AmjGh%Cp@cwntxJp~*f*^8aQ?kz zwxw=#^i|~BDVGCv&On?@S7oNAhdM`sZR*s0)E**nH91GH3Exs#1Lv1Ov2Uj`s$#fJk zI$FT;?98H2(u7J>VlY^v;_h$c?&9snqt>B~|D4X|3m2XY{ukwS+RZ*GvQ8VSaYa}_ zV|}ngO2EF2JzOK9pDjff>Voz?t&l-FYk-bo=e;@+FHRmy7)-}sPPPhgTHj_oP3t@u zFTnPnL7IOnDzpUVMo48^DcPn;xb3w>a;hHpgtihK=`jcgT+pm`&UfrcF}mCKBW}ys z`!yr=V=K`cS;6OV1tt%N%!EFUmLz6_2Va``rX`KPCSe?mm+tZuqQ{EK7kE7D@C&Rb zE?ghOBr9~ByT0=S-?ErqpYdb=Bd3{DDO`;36)^|r5~!jExwMEQ7q;-eh(XLT8~IYX z|0fGTLKT~lm(S~S-W!-pWlhz7AO_d+NLiQ4<}@LbPrx*>#%et=W0R3eCvCq+!!hcg z;|rP9tufI9nNSON(ke%F%d}VMPvxG!K$=PYyA#Q;5`?Op;!}Uq`5Ih?kkxsi{q~ep z#T^W5BxU%m{~lF+20fpTL$G6Rw0|jm@AP;B=yiV_ri4sfe!1l4zr4rjK=1gEiWlAX{8|Fe*@eILDH`#6byX= zk^r&g=&xyC3es$y*A|@(tqBG8qtEB$gIeVA=>2%Y-z5KTjE?l;WE?aEnq1b)Fy*7q zXYj_8IFsD9M5QS%DcWrpIy1qCcl|}3dOEOlJjpm{{Fbu1gHX@1fR&K$-ImTDLD+YtR2cVQNUGkW)cmyu|j#21eEh?c$ zOdQe)rF}IOezb9pLucAk>PLO7eyBa>UMPItfioN+RjnDtkb2O^&=@R0d#zm(N1Hv4 zdIRz=q7vZf)ZghRlR4}Ai2c}VV2R=7D)jFIWfG9$`R59m*Dq-{8V4L{N=mQs)p|w$ zkEK?`|8{gQWq2sZ`g7V0JK%q=G*Io@amsb!q65{qv{9TELkEJ*6I)Izg8YrEHJu!| z7WzFM#FSe$Q*B@v6ve*&NT6I;7U)!gc5DT3-asqw{*#_XTD3R@puf7GrdE=_r0~sp z)dN0`U7VquHxhcH6iazO<^W1GMEe`^$jixR`uj)X8hi#d33_oV6(>sKOmVF{x>Drc zZF?8ShH_gdxQsoOZ3TU(WuA0Oj{Pd(gQXS|w*TdWW8BsL!dui0I&adTt)|&ZoSP}+ zdxll+X`wc~M&tOqQ$pcGRRrzbqE4?Exv3R7`G-nGYHA?*fow3%y%akq*$FDU^+UZ| zx#k>ox7Jci3>U4BE1w*|!N}@rI>|)h%;5WnP@!uk6}K$mLCC`=s)K=Eoy13ag?`tl$ZJ<{jTW;UlauE7PrdB)4~^Q5FZHzokP|+4&DK; zYVxMl>R$#HomKdn^WTQ(Zg}|HDda& zWHI})z%!rWP$$@H|CW~9-7*^d7ijH}0nPG_5hxnzug~mKECiDA5S5vHmL+HJn=v_! z`B|-H+N?Wsr{DSIwAtsObu(r6#xrANn0~K!o2OpZbRZ}tIgXeqB2@2nAb`$Z)o&{2 zX^we{HtuW;zO>nO-tg1HP{+A(t}%OSXIpHuaTP{x=f8ii)48jRo;@eK*C8yz(tCJy zu=XP_={==#dw?Jt9qyK4ZdK^_=2w`d?u$Efp^vtKcRK6`tSs{7I_37HfHR6y(Y*_) z{oB&&ky$C13l5JV>TC*FKi41N0G(!$D@la9BAA{3H_a%16*SvR*uyCW(U#YXbbrqp z(a9>hZ$=pu_I90o&wxKuzYkw;*&*r>Xh&qc&GAY zWj+5;a}j{M`^4$n1M^*N6&DL3$tC1J>#QOe@eUzUXi-=4IU-Q@To~kznhEoObZtqu z&c+n}LDY*e7ro&x8rOQdCVn!4zT>xJ`2Fl}RC#UJi{PoZlHM)o46ETkHjN3$J?r|e z^q&_7H+&n(2RBIh4@mE(+b?sLflpO1Q$TbSoV*g>0h)6AuX>A)14c_9HMRyPFf3b# zc_%m0OqF%7%pjyb5f!j%tRLNSABX~v@5BUMIUzFy2{TH%=7c*g33nPfCh4}m!ybC|Bvfa8xw`@W1ysCfaWwC zsWoNPQL-3TUcUqPY*8uIuU^6Wnfh?_=iljvUHa^0m1 zmNdjRgkp`~5H%t?{oLkCe0Onj&ZD`3HhSwUoW@IE4hPFw>>Z^9Go}|x)QgA0GumMc zK3l{w%oWli{+Q-u(@Y& z95p(086|#U@S_y2#W)c2ApH9*koFZ!&te3b?=g5GS2KbzG+O7_>kcQUc_RG}w>Y10^$bPUDmZ2IEp9D_AJ5rv|uZO^-GFJ42f@W)$nC*=a4-GX2S=Cb{7+Bw7dT%L@4^ATKA!Unmzl zll{Ft+&W_@%c@$r>R@D9V}C$pmlDIMb}hefhM%H}zVXZH=;B{wsyL1{;lp^58}$n` z!yuAQAds`yO1vq|z~B#=nf$ZV&#}a|d%Eh4Mq1YEPPj=T(pZHQ6?B#86tO1n(dXgP z1*>7koGOUzV@hpfjf!%1oT%jEf+H{jaPVTPHK%#g*HiSA`?Tc;vnc-`U?A?D{-|## zd6{Zq)e8;i{XBEAb+*Rx*?U{hr)05{0e6MA?$*H3%q|3`mSy~#WP9vSg*lWX_oD9;qw{GZivF?hUgUbp~wl|MPR!*CJ*8)O3EE9xEAHu%=k2lsHVS zHp=2=9aOa4(9(xHOc@YG1y0flB>!sG7XSB?#5d!_U;z##*?vGPW((4Fgt34bmEcLPBQlP$I&F7JljOYVF` z71O&TF9+jhm*}-)(@YuGiJj^(=2QKBT?O)ng>#?Gx2N~Ma~7qLrIhG&-N3_;P)nc2 z9iVEA5?k;bORKSJ@!arevVB_=YXC0j>Kc=T%xt6;PV|w+=SR`77Qpr>jWKnC!ttpZ zsKs#|kNzU5M}gzzR<1eoWO$Tr*k|B80~b_5m?t;gBa;2ybb~x%LIqJ7_Ev`Ql*7-S z&6gmo(giVU^3+gAO(Q=_SKCqWiO?L}#%?S5SI7H@y*7`(2UO_j_TUo)kc5;olBD`R zsV|a#8tfr5Ou@3yc>cTlv?+3qg3HQnvN81FvZb+@5;KkKE5Bol$n1IgGu}^k(br#a0s3@;;^LC>8k%fNz!AjnX*TBsPn{1(>)lMOF=Nv%(r@wCh6EFr z%AS#y9R}Ti!)Y<^WRA$#IX5QPVnOj<*P->lKO)YbO~qlb4tH1qnx;7p?xiLAet#Sa zm)g(c+4v0G+FIj$JXqw9?Klmvl^=Y11ns~LIkAV^bQ#^QF}P?OeZ=+Cmwn2@0v`>M zg2XL2_%e^V$2viB*Y#%zjek}HG%>gln8PD1q4p~;4?K%lYM`b+lu-tvlSRjou^NaF z#ipU<>)0E&>sjF<6(WDR^NO@>)(23Yxhkm)q|PSKaySk(ymBvk;XRZYVR6EG047K4 z+fk1l!vngtaMMd*KpsKnBa$G~3#8O(mo%QNCuJH!w6H{mC38!5iOwa%5L&Qd!%78U z-=6NRL1x$Wi}`$bYG;b9Yv?j7em*I+!mCs5l(rIn;e=?9N)P#L(4lx-;3a5x_Jsd; z5#nhIlXQ;XW3SLIFj0{dIbH-=?)}8`4qTjHmrXMPaX?$%Y#6jIOmyucK?Kiy#o`<> z!7{r%LMH5=h@+i&E5XmVjXW6EA`G$p{mZfm7IORB`fvPGAqj~Vdd}UAX<^E=`-Pa- z$OR9tm<4o`6DV!Mk4Js=vnJRdWyJZo2{Y=RKo;!|ZCrOCg|t?X$JFmp^=GL6WC*sR z`x_okjHesZl5(>aK0NpL#6t!FclBF>^@Vzyt619$QlI@XhHX^6kIykLR=&?XDjSZ( z3MWHdqYi%%laUc+P3p_gVDC?EHEvPtRd8g45j>oR3yyE8XeMbI5@a`=G3__W>6UK) zKV1s`T@E4`cqR}?A_^I}lZ4oF;h~hh%)79@Q^is7A(H(u0<-5{dT=^CCESyF8$(IA zDG4!AC@%@^)o3)Nv~v&}85a({qGW~ly#KoSEb~xffEaC5CShd2TyOS#)d9O((I4Kz z+GkpoJP?E|+bPZeD(XqF&O7J&91mKYm;!8nEPha7nNEWD2DQLv!DTWlhN z+>E2V5tF#ZA^aNwQnzO=+;*eU39v;`)(?<&3bQZtnxe3eH0BnKO}6xJ5}z-WxOP)Y zC(JKNXAdPk#{tb-c_qTO0i2{DZv`)<;O(P#{Qh2U?z?kZM$`$XJ>%DSjN`jz!Li$< z?3H*~W0BZk+r$hVpDg-#?f=`5i@aa|nP77FdEBi$4ait(_fI2}sT4HY3x7{gB1cAP z|4%>)-T-}+D8=&>a$fjxLj9fS6m=mybO-!|j0yPZFEn_fT^X`DqyHP|L%GdDu7f!2 z{sjz>7n*pt(#|Gh011N_k$f@0F}(C<>?LEEm;p07FVW3h{^$`D8P;og2!uQ*)(1~6 zYgPPJs{gpnKnPU~Zr2&rwYI7s)@TJ}lu`=Wt;zp#3d~a@|JmoR1&^3}{}% zme0Byo7+J7Lu6cCpOk2tzBQ2{W-_*SiaPzzziU(h(?CY-wPu3}#02hMqVV62H!Lzy zg2weK>v5zzl0f2*i;L`T*0WOBq`04Eq>i|}FvCEjHMQLP`u4VeV{H=2myDbbvx+z6 z&{o)@+)yE^%ug4p&!yoPK6+izzyKiK#%z& zFF!W}th6TVshaVp_e<;#8=L1}Uq zMcaozP{Uc?CN-Pw6C;BCCpIdW2d+o8Qe8wu*5b7Xl1g8!l?7_YTj;fV zzCBr_@6rQ3WP{9o#)`1PL|P8-6Gx=-^h#qrqCf}XKq-O*o@boveDF5h*qH+0k{sb* z-1?TiG->B}xrN_2oN4Er-rFiNVf-aMulvePO;JyzAe;6Z6qZn$e+{9kWO8$tqea+X zfE5i$oKVFg{Ix{8xZLvwq}^4)n`6p2eerNz_KYHE zkcp}nTRdbnwriJ9>3f!V6^~>4hiL9+tdi|e*{7E%OT&MOLMf|%<(V(%37UZi4wB}^gHuthr z6yisqyk}3gD;Y?*O2crX-8X7&pLW=wg*@|j zSEVI^?L}t7$sQiI!plh2W04m&-dTE5q=I1(+nL6Q5v_`4K7RZtuzgdp9VOy)71v z^b~Jd1HAvhQO%1ef-R-0}heRZAjR zNhlO$mS7C6zoS({mFGEQJ!0TLkFxq?PI`EDwDr->_>ft^tj-XOb?f~WB|nEUdv>Vz zQ1DmZG#=)n@fHz`ME(bi0)-=k?}6Yi0k@^oP;dS@jWr-(>im8!cJkm3Er7Xq!6)gq zIY;(Ch<`-={TFfeE*P~qMhlh|s_(nnBO|ho_T;Iw5sB+l92H~vEkI1h&hCiDvI(iA zVxWJfx=bk=P`C5lMJ2C$MDfrm)}r~g=<=ZFD<^b4B+2^sPvV{28XwEFQH5oDm#xB4 zT)$(Fx8RvY-VPXW1~A?EDI!E-;3_coQp)O*@ati@+|)CeQH}C{7v>gzVC8Bux6VzeMci@%vIQ?wG)mMIn`6SK+8TJXG}KXZs^j zKkNj1W&qTVEV9t%9iGJ?K0uW(X#07!HZY^|*coLG_D#EP14lcMvg~iv*WxmgF52{M zs7kjS;58*GJS3ife7MZhk*oW_|9VfKk?k8`$g@bS_7s-F#yzNq?0`G%}LFFTV^`X3EMUSBZ_ zl1kMeEI*aL?;9Q8jeuq^_|S#L0^RZi@RC81Sji<{KT?&9{CflAwzsZU_$<0VO50W7vP*P|;IJ=H>~jK5XF;9PSaBpVWIYUKra4_AL%c4!fe> zwVg1i{$;+7fxjTCv!`)LAtJxj4%I@CU$PWL4e>lsz2dTUeQ`b8H+8r+9E0-}@iftW z2@7`nkQ^9yd*c#l48F#iht^Z{NY9b+D!w6*+P=VhcDO^$Pc$;7{0!`+0ehGAZva_7 z<;Q2E3jONNu?~cZW;=EU@v%C>b2#VfSMuK>8hjesi!o+P(fdPW6KVlXfVhJ8pdPIo z%~rUSaz0vnOc#VElHakw9-xCyjYl8q`)+CAz~tG@2T$rVB?Z(y7Hg%({44+9V<6GBrdjt}RTer`(z5U=!j8zeSel8fCX?r{Iwqo6l}r?AD1Ixx z!5}BEAwK+O+oeH@_jJ}+Oo={^b#TWE50AVh^n(D=3)u%0aJVp1(k+J4UEG@spjq2L z(EH*Y%B%lPSbxXjXY#72Y%=@+V@|VHV7a%V0jkx;1cP0@=kpKrEB-G8qAGNUM}U`t zkw#N@PD_A1$@ifRb@86#=_Kn^VNr+?slh$E?|X#$+olO_B+v?{<6^{M<+(sM^M9Or zI{;Y^?-%I*A*lAt5&ZFm#O;#@npGi-n;ewrSBFtN5~xOG$(58dxss=?DESg9wc7L( z@-7P~DEz^d<_vmd-Lx_7w|3rMA1`(?y-L8r_`K(b5X)RYiI%&IErIYcaOl$&@Q85f zQreeuqfnHF=ozzlNR5PT5q5q_Q6OM1I-~_6)7Q2Cvus<3wqxF+GLs!p#?q zffWRiWgS-CgsHgL?(}c*EwHAla<{$oFTT#`xh&=hXI54fytI>_3pPU7a)kb?^ztHy zNA$mJi*Z{njSs}DE9#FcE#+kUwC)Oq9*U)50-OB3}P?D}r0q!suOU*}~y#3L54II38HC*tmYj}1&SziGUT^=e3n*F#@3*Y%%8)@L$FLyXUh zEJ|%eA*H{HusaZZQjiL;!=*M$cnT=zkPK^@=>!!Xd|LEFXfb#Vlug3-Bq`lM^RvCUS?p$7|t|0yLxka+bS8m_XjhJ|-#G?xWK4hrPi z_Kl}Oj-X$jWb-c4y#wx7B5^mZu(rhF5K49c)8@qhEs+}@%x|-V{9136RIF?w-Q7)ITzM6Og zbzwAD!P2rnN8y}amO6~?X1K9XWNWd~?*c<&--|Vj+FwT1M+lJ1N-x!NKt_FlXYG)M zmSAU2Tq0)^GG&f?Mi2W%*6;gon7v|Wu!r9bF*n}0^E_>lE+J9{cTUl4S%eG!t9&Oh(?OA-Pivef74gzUdDoTvJ~k& zAFW$6FQdB5iSBDQ=i8?-eGOo=KN^R)O7}n2kl9fIefN{;o?i<@HKS#X7FksfHlYO< zp#<-`?U@NA3p|7rw2mImc2JK8Fa_9cf&APF@92`YIaX}i6G`j>)W>CGAp(n*1G~-u zpX{xsrsO!)-Ci72vixbj;s#*sDd5{ubGB1FX<2|OVOAI|6BBN+K~3*XL;KsK!t0Rg zC~7BB)lAXBZ&mpIzxd)b4gW?TDXSZ_gfcApwKUee$@=45=B*V&Dx?1MJ!@%2ehV;} zWJ6yKO&0Fd&PysQP>JI7m9Q;zl%giQ>3#md{6Kp!RLK-e)TTDCqh4kc?&bp25h)tQ)oA+*zNQ z|I!VOkv#gLe2Fc~RU&+^|NP4Qd17(~`H-_mwtdARs`oX2el%@A%^-qZN&)m;+lRer=o%8S@EbPXlb1I0^14;`AgTI1l~Z-@#HI}l zN7g@pcen^gufI}Mp(&|anSxQ&gImQj%%tCb7HwynKOgx;i&(^pPzs6rhhW)M?Ydx8 zdlRyGVlwt!@}`k!cSFIGM)IR%q7i5G7USxLc|%R;&P@Zrtj?^lX?wWL1T``B>2^=RpJWH&Iz@}hNL4n6r?fiISwx+B`5J`-^P24BSd-@S}|AjXVqVG7M zk>5|~LNinQ6k{nX=EZ4fC8x8tp;%-u>BWc3<*HCK(N*AwRxnMEupQVJ_Zz_Zekuk; zpj%D+ei7?uT%~R#5JN4oYneLsb{^~BMA3Ge#UJ3inVui^N8|$LgX83)DqSe3U#YaU z$RPS?`TTmtaU>a>?<9=(8K%drXsGbqok2ADRueTN-TK``?iV;H+jXbTaWjKJs5vTm z`aYRVcD`9Sh7(*^`!A*pfI-T*KBI1^q=u-M;;YOepeZ;?*1}S4uB!;0-$Ke_?P(iA zKh6%twjV(4Z#L3`cUL5g#zYLDJxW-QI8u;jmZDnkoTxnu`IeFpE0>iux>ER}CafF3 zJ(a=wi9Fhhn$kMOGT#=~eq9Z}o9;=?D4s9y=cRvJe+DjU0Z9^964M1Mtsvh&T=hX> zI#4Bg#-Ek3Ogt;Z@_+VaTj>k-tN)n4U8{eO^2T-+aCnyAu^ZY##ZA6wiDj3ria5tw zoySn~n%r!3AX~7=4ra!tDEggU|Cw$qP!rA1Dk9OcVwsnXRDYGPX_`S&4M%#mOjG~4l#yzzU|}H z3kBxtjrewb@_2CS6QWb8z${VEdpq_+~9 zb`21Un|xmgT^TTx!H0MDxBm(EiQ!1tQ#V8g*lC@9u@p%ll(-0RokfOe>b(j6m zwFB2JvP}ynwOyT*Scr;@Ey_Qy$B`|&xrIwM#H16E|6AOS9h@f?7Nho4|BK|uJD&Dr z#MdOa&-nI7FSla7OOc%EybpPkk?8Y!u=JZohsX#?rsZLlj4H(Fko)D?S@(HqZtYZ!CXe@^*2MB=l#FH0^|0$@@(%q^XSl(pc-HgL1}0YnfWUZ znL=d!GXL|hWc(%b1IjY~cG008TDD(OV%^AlgNnt&zFD2P;1hCxMPN}#OxgjRb)VtW zdQ@TQ8?WOPCd0cC!+#YfMu@O85NpT4sSjNFBILJM$Ohscm=Pc2xbqE&Zq=#^p2flY z{zQC4+l_Wg^AtR)`V-NgXu3`342lbX6@(IRaFm>zw)$RKfY!)7DyAPUAQ+D79U9W% zMxHzYzie)R?_4tyBS4682;V#*60M%LmbbA3A9tO_cdN$i_G565?ohgy}DtLkd zEy6P1Z*X~pD7*EC#hldN62Fzdn7J}}?_>Tlr!ZhIBCr>22Hv5UN3Ea|L?L2ySdJPP z>|AJ9&C>@ox7DR9sW8KY!%~(Ojhat!8l}v?ml;v{19!!4nOuDX7DW3CI+E51CD5Xc zL=tfy$8Xm!hr`AcS8!RvDr5=Gwy8|XFxWTfmAO>96n=3=de9D#gn|eR{CK1#@)^+J zS=LWoJP=w6*%g!+WhN=?ukXn}4fz4q8hHeCBjVqEo_N)3?@(9NuJqJNKCz@DOQ zaa2)hki(;5 zkrcMu@4q0f!SjDo788vwmkm?B_q9) zV$@XkdI(1RU7!wN;=O*C&Md9WLqNatn!yv14;~mN9!EE$Qe1iaj~Z2F7*>W zF(fd8Qdc1{KxrJf3)ApqU;mj>Bs^34_q2|B&YDEyhG4hX8y35O^^&w5n)LXmV|yRj ze(T8=F$)1-;7_(PPAfg=Llu0Y6i!YxJ6nWjlf|;A(~mndB*cC71d5=IiEiL_h+=NQ z_veHYH{XUo!IQ7>_-DGp{MJ)ABH#~m=xEFv=IU!;g?_`OLzWrBt9O~7+0hFJLuwg` z1SL`{JLe9UbHLl9WafZVs=GDy0N}Mo{AbO^@1yYAqZj-7$`NZ|cVL!?dRYhz`U^Gp zf(kK_b+{KM_YSPCcLuDMueMdrUSN4@+>w0)r&`TGa?3Um_aU_x;I+|?Z=f=}6wlg}$( z%5Vqz=|37-mW7W>_EE`J=epgf`5H5jowJrY;hsJr75*62i~j!ppY`a&Y5AAZ-efbf z^O5`+fOFzcn7-XUlZvwwhV(zb!D!YxSQa`3xJ;0en0tGC4S6yR1)az>t$q;AkK?Q- zq!;>jQqPnuI4Dzun7i+zo1rX*H|qteACmP1^oLIb>p>TTnFkY@BLSL@>7aJC2j*aY z?A4(5>+dK;fi^0Y+v2xPZz4~Btf_ejFB*B*+b<2FS0p=5z{I-f02$xiw67)Cy3;8p z(EcQLLO?}tG~~FK2E9kazV1jPm?pv^tgzl}o5?)jb0t7GDa|oLQGL-EtycUwzNPjP zpHNOWxkBl&I7D??LnItP@ z@)KI|P%69_Jp5HGrrGk(+R?r5uDp~ab-1XCaaWYqhoXXH|Nay{;|e@V%G}p#{69Mp zBo1(m{W9n_J9Z9h!k$=1NiaE8xi$RY>kg-`c!M8t84?9(LAKfc0%&dt!aNZ|0L`c( ztU*7u;d7Ml$oyu(!bqkm%Nkv)J14TXbptE_&7hfyDOEYyP7KnV)qqSy4 zeJl9B^h&|>7W)0wdD8O&5>nB+GcedOXu8ORmY=b~q_{DzG6V%_S6^&ITtqs)MLjPV z8HP$_YMucRLd2$Z$4ZMn!!#FD{Y98rc`p!__k$PQ3F_EcT|R*Bpg|o76P6di@RpP) zCRQ9jVK_$0A7XF%Yp$2nPW~5TNH@88C>QNpgfpJFH!Y=8456cn{4dUa(n5ZemJ@hF zzlnS7|KvRH!uv7eOG0o;4!;ODMPfX`OS7_kmNrY*^gbaQjSU$UK^u+!3-SB`wG~Fj zDg_yoM2^m=*>k0A+&2u|)R<((fOs>K9Yh(UUZPRCd~2Q^Gp4Kgo+y<3TdW)ZzM@2v zuu{=;9X43vJB+a{sic&UP~QWPG24%EuG)4E4`&c>S*Su3&oGnL#KjQ|3XMQ}TfPXV z2~Fvv^%b$gIP!l?5AhZ$68nEe(RDP?v054_945n_D1B#PdDCi`LVoVD>Toi*NvXWv zUP+F{d`4VEBP%PE_UT8nWBj6^+sip|htg+6^1pOf?ecb9B3P-@>&3YI206P2ySRpm zEC>DD%u%v}Qji#ddJA#1#rd*n=06ybFQXMfErfoxWfT0+l6c2`N68SM$;+en@!A*n z4$1@R!kL&sg<3I;ikStkuvcw~8<#mvRL90tzpSy2ncNhl@b5?!i%O7WJj%n)SiszY zvDs+NeYOnQW99v6@-`$sXNrUrlBI-`2rwDgPm}g`p2Ap|#Bi8g^ zx2^Ug&N4a6QMt^7x1SG~&xR?@!af!RB_1Z+%&3~8Y#wvxQ2R#FFTRHMj)i`mtjL7L z)g@nO;PcU)LQ#QxZ|C-o)TXKOlS-TQ*Jq@6>b?!U=sFTS2RS#OF57(v!9!NJ8mP1q z(pMdSJV%e0=8$x;c`xm&%79dRV&(7`1-VT1sU!F&QcN7K5;TrSvQCJttJp{UgK{D5 zSFi%_g_RlbV5+C$)A7Bv;!bc8{Py|u8ySDtsreF&n*DuONql@ei zK<66jI#Tlucs|SNvUtYaxnlm|x}}XlNh>1PYu%+93I5n7J!V6U=*>Ql99YR7jnQ|1 z85NM@+&~0K@X10H_S+~JvGQ}q``aTMDA@K6j;Kb` zoS|wKV7kZg5A~<$b652lP;T%y$>BqN$n8z&Ap|0id^B$zT}Ai+K#}TL^J{Z8`55Xw3QQ4;5w8q*gngr)W0ijA06b_)cszCUnbUys##A3Of7=^A`3$&F7T^)M$_=aM29Uo zFs~nTD1s|-EAEAv{-ss2Cl4#Xuv8hPB=Cz=k))T!PkHSsfc?vA&IGpm(8D0P`LZYE z^UL(KCp=jDiJc+do+^%xJzY;zQz<_1ZY4XT2?BXq)(8H>!#0Ymy6z}<`MXz_sOU(E z@2C~MjGscCa_^%b^+E?Q$9B)H_kTC2AzL;=*P&QM>jm=c%j8eWqNQu7s2=cK6H(yn zxvk5G`qf5@Kmr~ECe*a=?r)vGiPc%l4s$uc>R_19;!9B;^ziTAhxJ@T@hRXdRMjzwuZ!4IC%k+pxo+5f* z{sh(kl8DE@zFT%dBg{`}ZW`scOrJX^qz=_raS9r+YilRa0 z^XwVw?M?QA2&OfIp0m9YiQkLHo|2o&c`428{^!eF1yy2?F^jiP9v_39=f1Z6i6>c7lxcm&ITig?R%)-@ zt7T8%y?vxaN>Z(Ayl>GS=~O$fAc{$e3Xslz*iP0M}hUP7K!U$p5$tj-#x%OH?P z7r7iGpL{o*py_Mw;`7v}wdbF3)_ZWZi{)A& zCmm1NyaiPh9@k}_;LYN5q-L%qp2-)lE<@BBlDoz)Jr$k^JZf(+|3iJutzrC9l!&bU zRd`D2)yXUqzDst|t#KC}dGEizqELDZ)O{egThM?5f8>iAE<%n5G@{?^+Wm6-I+SR+ zkBWEY-$W~-o*!5I6iFv*;X&V2*GQXM*!+Z~cWX`hLVpL0puYlp#R{;RXwVliQk!iG zW~rwKwTa?-K)zT_XZug<(;l(I5@7d)x;#i)nh<#oO5Kj8~@gOhY9}a0JO!uSK))^{v7&gz?&bXV0 zj?mUYTw1lCvd`6EhZB@ami@n(y#K{(;s3vHNAV+&KXB&?&)>5|L@vLAI5hZPyF0)K z8j5l`ZQ-n+n-*j7jU#g18@VVMjQMn^cOa5McD;q`Y))RD%p{))Go=DK)=+)L^UD<* z30SWv7vK#k&pYor5j)4FBLmER=Zv0)pf7{nXIQqvOTM(0p6B@dylS28WpI@9GM>$( zRxr{HV0vuPi2Tz_t8a)VJ{E0zB;5Z_<4`6gg^MY>8!??z3)8dafkZ(e97eXI#!^0X z+4C6B82xoP{dZl^U%UQ1XvlCziel_fmob8AqJSt7bEc ztdCxu0+X=CM6+j`yYds=cacUOWDd@FR@Sj7 zhed_j2B;=W`bK+ajJ0Q0as7>=E9^O^=7W_fIeNf+V?S)8$FtP=l;TGAON5n@!vo&p zPHP@`!uYhq^kn&pe273c8TG41XLebq&GqZYz$a|T)Sogto!^j{Gv)WM7JBg1(lt^@ ziFppdLoPg=o(nDiH4$&VtN!2-Uu9b zaU>C8lB#mmA}rwLmP;nJ_^vdBr6%sKu_3w>wI@)JD*oSAft-xn@Bh9E+CpB=|Myj} z-|)Zn=84yj%m=q7z~LYyjGHO!+ShFwya&B}&L>_wd9m~oow-L&WWMF|W_a1fGP@#dA~ZsR4pV;~!1C9q za|K*otOj*9cD^FRHVRD#B~ zk4o(Vfh{_LpKgs~F#A?s(L~6Ux1u=UfDgaf?$3aZ^gaYuEa#Tj86G1J-nm_sqjawq!dH>MN@TMlldfx4CN8%=G$Y|GQt*Ert30M8G2K)RC-Zb7| zwIA|auq?BOt3V`we;H|9wq5XAYxUW*mGz$ab2i^6+akN#_3LXJ?GD=K=`MG8#38#5 z@!_I1rD0xi)ARe>>J4_y;63q~Gp)`idRtZ+pV>oCBjV3=FGId%NkUt$@itKfR>4hb zSYOr#Wlx{T@@QG>*@ut!uwD``RPxeMqX3o5Yc7{yBtn~!ARj}@QZ>MIH=Lf=Yw@rdvcPl57{l~xwZYz z+;$*I?M9y~;3>B!DJX4CLm%Cq`R$>(v+#okX{N=;2T6YfQ?C>#FmE%@P|=mNNsJ<| zD=#-U{Rj_jVyr?3y>B@@l2u3grWz_#FW2vxvqm64R#Gz?qMgj()i4CG>vn~<5Gw@T zD0G^w86qyrw0RqL(Z{$~&>tl#Lrb$M&2ncuO{5Y|?lUGOb@l62(S%ZJ8eyca8OfVp zggh6doX+UScyAc`gt))t?ELOwIdpMw@Zsz%4dLwb`h>YBkSZXh?IY=A)Iz^xWF%@B z1quoUt&iTYpZ^g>o)q9^yF1DbajQKJxDsjCXTK&p*SzW#KT}a~^9ZFr>*y=Qftb6op@hGTll$DU6COuX$Zlyt1=GdgT$7zfh4eX`B*z^Hl;BX@b9P=NNY8U?><& zPAq)7h#V!z1FGMCTk%eh&-;AkdBRi@U4SOZ6xckM%V9-uWGebT)~`S09fSXo6J_`P z5AxnJD6XiB7Q`XAyAu*TNPeZ)x_#J#B9%K&nfz)#wI|H!Dac%Ja z{8TVda>{P$3D|y3y^`dXzrKSY5LXOPz%oKtCF63_VHlDjCVqq8^^(VV_=*A}`5q2w zIiu?SZVuE1+F^n`uQ`T)UX+JU8^c2Iw#5i0#;qeriExxI7=}HDM${l_>apA@J8_?& z+3_f~IWOAV3(|(<2~IcZ4??6Sw6SeuHS`ZhZSt^u-T%|az62fFiObtgP$yhMRH@Zs zLTDD%SexvpxKLV2Hv@gbcWJuMp_iDQTO!Eya6woI4?ty4%490lyL~P<`BNg8pkP&? zg_tqbL-ss<@^Wbf8Y;=--zSm0+ayfU;{5H;s155|ys=Qy8yduN)@7B3+T`h91Dt{c zt#yQ0&-#N;D2}9k;{&Q!QPXnb#IEKnm2)DkVyp@`gZn~OJS$93E8VfHL#Z9NAdrq1rXL5CR@>B&!q9&8pzK!`li0$_=Y1MX1N^P5X+$I z^;;&emM+DMKlA9!R%+sxM-fWSHy}v=I0UEd&!6AY&O|_l;mY&~`Uf&?}tf@AWJlb%D$-!$Q8FJ)gF4 zr7A33PQX54XUWz@J~SqaYt<2`KCpItqXM?Q(|6KM%btSklDAI}5@%DQnJss6e-1W7 zSxZY)v(nV{7y0@VLe`}wjQ$^5HIr?vYLDhHl&2*6KjX}95{@UFE?nVz%TUhB7mlmH zaCFDsQ@%U$j}T|ZbIjAVe?j)9Iozw@=|)nH;Rog$ol>EsXxi0ov1xcfb}r%I=k$`< z{}+?&|K#V0VkZZ2$-I>@mI5?4TL=|WskPt!rWq#G6R}J+{MIt!q#ubCMUYOnv%iyx z3MEY_!Tr~@H=>`FfMzGzdX63OobKQ^2^p_!4Lfe+IzU$iL_*pLiH>`AkFQ|r7; zO)`v)CDr_~anLK2R2hQ^>@PT9gLLbP8@S-L*u8S(mm zbskW%P#)gK{V@!k+>!Cxg<$a-2r>Z>Ez})ohg=RSy2AduN*Ig5SD|E8-%bLWPuzI( z`i&$mwC!^~Yc?`8dOLWymoWQyc=&EBSyxYg*$}xlpH;seHP+m{GoEmo)tE??tjx22 zHB=AdboOjxfAH;d7K?FYqE}i2R7HWzNKF1&9jDT=q;(H<_$zO5Ekeej->>`f+@s)H zG)aMN2&*_Io<3VCSr@CW7_`Vn3m1+v@Xvsq9)g$9GS4G>9(U<>1_)7@5LWVKOYCF# z;%F!-ymi%bhS4vC_KZ;1o_-qlRj@!CE%8+?)8GB+rZD7+JvDU_U2LGPd=+It0&5fl2vnKZ%< zfY;y~Pj@7l<3r``i1tsX0|?mN7SkOKY$NUQXyX)G6ImvGPzCxl);u-yreHc4k4s0i zy%G#2gtIKWL6=&if(+mKM2iqV_w_Ffc_af7jX#de1t4T)R5&+sW057!aTyF0C&tIa zj2w`Q^_!3if8vlj4yhQ^N}@tv)B_$Dy7;DGTJ$<7fcduHsT9ZvtkT2xJ9S#cC=806 zc67G-rpRBsFP2v`s?BNpwWiyGHr=EmHohTfRO92IpWD=yfCPF`#(Nj6s*p&*CFPxd zd(_k+^%A39V(l^jeC2Zm#YKGvhd$5>K@O*aj@LG)5N_5gEJxx)U0A($uH>$)m|gEU z-Z#1GtyJ{A9=kq$1?>%0`QoUMoUo6mXRc<~wBXx)z;78HG%^ zqBKlihl{&V8kSCLcv-I4Z7RYI1zFmW>S8EO2qngEXenfU^(5%C74$6C^2ic2ER$)C zGO1_hHP>*zy=k)?WNEZ5@Y$B>aLeasCOl&b-Es^3{PLl<)!%0bq=iaRGTJ&-*Cf5h z(JC~`d8>m_P-*mNhZOVQK1!f9dz?`5FRV8E)guI=v0eVP*OVR;SRjJufD@-0H9izr zB$o)$J-%&!#_x>k*;I!vUuK=7aGZj)kmKA}M=*GE5#=qU}oxs`o76V`JY;dqJ#w2Wsn8L|mT-}=-bUuEusHoo zoi1BJvJUXI%<=b5z{7TvRtM4>M?+&trH}RLKab$|M*|jMxE20+Q~ZJ3Hav18cb1n$ z3VdKlr)7AIFEy=`hNilGOK2NRlpEqY!Du&@@L?c%1y#$0``7dZAz`}u__!b`!)qdB zA!6z3!M+kmK-ZsPzm<2*J@U5<(ZVJ{N`E=NeX6&ucX$=cS-AZ1g5hgE$8=w!UQq{s ze+@#;bTSV&BTR?<0DtfcvdhrsCv!X#eJdgUZ>(9UY5c!Gh{~zF#N`n9SkFmRV|rPZ zFi;)(vC}K{u?J7h`Yrq>Dx9!2?^Z`S`T+K9-HnU3ME|Ic1;H>}%Nf7KMX5mX&aUpD z*Skch@<9@T)=LTRKe@#g3W}4Xu#*`&+(oYYx2qy2X8nK59J=ob**_e1Gg$Bl%PK$$ zvhEoDh|pDWn#@DK9%nzOz0VU1WB+{|bK+#b4Hi>S)OwZu^-k7{-EMytxaY%g(cH{> z`v{pW;>O` zWCmDDISOQyM0LbN!obvD2RBWeOQSkKHytN(kfkh~ z;Z6Usj^z=ksaNA42pZZQX-D)2Kc|GFrKF4{2YmUqIh>A{!3dNO{{Lb>|E7+51hwT;i3pqGG?> z#=WkLylN;r06)Z>P)nU4i3I+&sF+pd)#|tT%pud6r!xrjcs%2j%D>u%m5_RJYx_&f zxEHsH*9$KV5aRLTE}aI9tm+eY6tuogBoNYbBU#}=@Gcd{=kq;ow$?>EfAFi+_I?|- zVAnfWoR`zS93uuKXsWSf*H{^?yt*9)(~~^ zSy25A&nw@a%spcbew7jYC7o; zaamgvND)`R!u}^h*I}~bkb!=SM`z`$wDXxAJa_eD)aA)@Qt-+({J_ z3C$N>z;tcL2Y)~lD_NZv6wNE-&f_+uKi;6`V*ylT!HSO{r+lvwZUM1vbJN1Ad85Mx zV;`CNi$t#{&L{NB9Ie~nbXWuP@BuW{7MDn4}Nu@;+Z_ZQ5^VO$dm(nhE#(4A3{$^!P zw-j+-OgC`IP9By>6^A2zEL6{uvJ{ai`tC;lOMC+7ald;dG`Ku82%>Bd!Y8DHlp|r2HvKq$h z-__|VAKBk)uI2cL{B8F;y&OVpK)uS(>t2fHi}dck!8zBZ>8Ho`jXe!feKUbG6R4F< z9zoYYLD4}X>sB^TB2_Kw&2kcrzhD^l%?a*@2jH#O>kk+=4OeQ!e=bQ6Bb`Sa zomIaC*-}V(sO$;T^KQl>u3Rhcd$8bczs%VJC-`diLbr7d3p7l*@P}kFl;4c$?KSKB z<+acvcSbNH#EeE|N=Li#zXr%9K0*U!4;#NqKA_GkE?}KU1nFAlH`>tVuiF4tCk>Jd)9*Xzq+yp_f;chJcbKVXXgC13rWiCIY$r5V-G zVdJ9z$d&*V>R*l|imLy7d+&q_*O;s(Nk%Uc;y6L$3zPqUcI0pnmE+_HnMy;}8V0Wo zk;bphw{Q}XqN_GJw=mfI7DxAQ4Sewea5!d0_=0k75}&>8KF$`CB(oekBH{T-mjtV7 zG?)wLW$O{x-_{Rm0s|wf)C;6{2m!*`U`lc0;>|&i&*TB?`wNx;5$M6y;CdA=Q-x-e zVYvROz;1Yv_+5Imtaa8g>`L}mJZ$~rCPl$RiH(1R!af_K;VT~UHoGH@m?70&Nw!BW zJ7~gF&8=%sDNW{UdYxKnv@D&rw zRin=1CbkvOb4b++as8a{MJDW(a4-u*HZS1~7o(a!KhdaMhS50 zBlzxmO`lbkkD_ak>I}wj^Xm@Q`|1V5c8w~}v}#o)oZsF+<((tY@aixC@P^cjGdXVn z)Oxg??b9=s1Rq8R-SNedd5waTv`5<4TUB!};rC8=GGO@4!iK^Ico$d<0j9m;Ljt$p zkrTGfk-XBEV8>qvzM^Z>|C%#aI+QAqfjHJBU?0CwigFMuw9c#Uu}?JM_}5Yrh#?QI z6M#XAIiy5xi`M{d17YV3cI|ocS|i&!!0_unzM(awv@Z~>m;H55i;D+&QXdUq>#qr1 z^p-_xZQqbtRr<5sZ^e41+a{54AAxSGlUB6qvT-_2@TR5WXjEI*E-vb~=SE1cz9GU$ z#tDSt(e8miEJ9ZqAg3Ho4D~OTyE@)m`0NkpK<}_L{QWteCuSHi9K1ovuk0T&y%-dG z`uufwcG7C5?V@vf_Z6_3wB0a8XR>df-b)c1JlqFdmv7*=|Z-H13=ZI2zQ zFCkV&X6|z+gHW(KH|Gy);=XT(2-E;T6C+l+jp?!MIOJC8KJv3w-JO854?6ftLTrm# zPYU50aGVSyYOW_&Byv@-jQISd35s}Iau6yMz8Gw9_DOgwTWQ)}`h~y;Tp-Crb@jDY z=XD~?ZO^qKhtRxD4RI%>DNzz>C#^DvuxIMOqnio|!3uYs)>cWRax@q^Dn#J==nv7?! zNHAEx?b)(rU`XcU#+%W9RgdR zd(9!>B}TF{z*JE9J7aq9#khd`+m>P)?Yz}n8Z47$S*y}L+{a|#Al|gA=7`8$g&TGj zve@b52aDv*Sse%^WpDWM^8{spjcg|+VoamBWr*;YE$8_$iXBI(q0F;x6u2z5{}4Bt z>LV04V`35@hbl$idaAs_bKQ$&zn43!W-oEI4;dKO1nP*Scz~l8dCKe*fvtWR4Oa3w z)c*~-Dj$g=&rrtoWg-mJ5k;djSeXF*xjV_%xRTUhn5n$wZ<80ShPju#7st`!kS9Hp z#=ML+e2f{K8>b-@P2y<(CAUyB_i!g*SN)`wkVLz6v>NBQgZLaG1*TfGs-r_KO#Fnk zIo0`Jtb4nG{L`=(H+_6j^rO1{p9@*$X+Orac0|oT^FLm4{U2)n?g$D0*QWhfgWEfS zKp`aaay5X9QG@ITX_&2WnSu*R!5g?6d@>#?sEK?QShD>;$C~<|V?AEj|6iV=?UQRS z+u->jgJRO)gS25E|D7{nw!@TDfBZ{^uFNy%2j?hsJj;dDPwy!iWK`C}sU-hA5Jv9)S zRNjWQ52q*!H(5p`o&bw&1r~^;mt9q_liCn`exiuF;;{_|=vIe^4gx^rx5w3RPV}zW z-nlRH*lm=)+;+A8C%N7HvjntQ?hR~Fen2>2%u!eVY5P8SlciYi8}ekgG{;g8W)dEe zjmFBz9tMSr7im4TeYIRNO?sQ)&XfOK`dRMwKRavc!ItFS@2kn!DiEPCA{Sk}b%7^e zE5+AzF6npdFmzK@x@~hyCVSHCad)hH%Hwfy2;Vpe()nYjufqL^hOti(m1g$mS7 z%eaTRSsg^{ce2{?^vvxqEt*hK%;aQuUVL^+aPfb6_9uD4*gY|}?t=*;o@e!UNOp!I zqh4);`;%1SA;WOORf0^2Cto*|>N`@F%fax|C0_+Y+oxV1r6(nRs_Q+~ZO?z%0RXMM zw}|QN{m~QC2TpTC>E57^WICD=`EraSO_x~40^oAg<&=14 zk!$CjDiLcJAv1HzD8CG2%QPAV$^V{GFf0*PO(*dtzD8V)ao=X${n*pl`!q!{?47M9 zlt=j4tqDK^u^09ClBqnJuV;>e6|sp9+kG}ww(&ch+P03i~>4y|L zGschhmizL#%qEN@I8?BjrgfVf5?xL67V~<4^T8!U<7eFZX>g{)7lw%ZYL0Nr3=3JA z1L_8)hQtwOt#q{4bTaor4F>L$$9;Q}M(}4L;KWDvddhYP0AFCu!AIWoCV*mJ_kKZ9 zWopc19wLf?4a;e}D8u>(LTA0O`p**)lT%hag|@mf(Wa0C`G@dD4GLp>E_1v&EY<&f zxEM*mrENRr=i3I4>DZF+O;$LQSvws9Yi#w}Y)PT!Gy5D8ewOobo7xknwB(}0pgI6a z5Xjf)mgG=!jzx*%AZG`V1;?`5FBN{i-=AMVqk}EG0R#hAiW{oZZzbJI`zXzFmqi^E zXJwI_PYA4ou^(c`;gPkk+l@-3XiFacuYiCthq7gxf~tEo*!QPX0SaAUB&ksHK>SK! zVm~-7_q7MVD;j1pb3F3U%e3^1D(04wx3gmY{6S?UC?bwak)6gACo}+Xt40{gI6w&g z8bQnfLb~f%4C}WtJ+h8_Od}T&nL2XTEQE}t^sCx55YNMh_}yQ4*me}_7rH24Ls4p3 zkf(z5*U-e?%6KE0AyP40Krp#4%~C3l>yi-#4+Q|-!FR!Pv=p=p$WpQ^A8M&JV!m=9ey1+z3xAo%JbKE>vb$Mm{Co3 zX>6e)&uo<6vlMguB+R#6F2sO3GAJO?@|LB&C+c;AUE)GjA3lqW*4CQlBSb&yTY#0J z@uUCY4pqWd)_^z8r|yl3G4~%9Kw;!I#7%k{I8<2-0|=Z*n8}dHoH}#)<7k#BON$%s z>t8a;_`n1&&mb^d`yHxr^l{=)3*dhJ4Y;r(*@-M?uX=_pLm0JVI}0XVpwZ|-99ycP#MI>%+7=%|?G zfq?z65F|zfct1WFT8!lzK9v;kUAR~P4|Oa-GW~VW$e2d`bpEC;_}IIpW1e2(dOQ)e zeIXN?c%4$y;pbYaHw0}1e$3(s$IZh}w`huQn|hX-nF!!S(IM$8r187-C5aEu=I`+m3Lz5}*xh61+8?82 zwCXgPz&guHB-);tC;xmo4C1g8H%{-j@N?9S5ndbESO^mBOHbEM-wrdGrX0rJV0u*c zD^TAaKavIEJs*OR?0EDWnib1}?phD$AfNUoV#|?VZoI`cTlm(lvwxs~k-T4JVEXSH z>(Rw2lJ~j#&-m|oK+ZN@aZB1njz1+Td$3spEh=9kn(F4Ar1b{pcB1z33V)A=am3id zc^bj|8Li7V^hBYYO``U2p<3NiIDR5?BZf6$#y?__?!x@b$PhGOR4h=*!!Zhl$U$h` zV_R_f;r2XB^$ z|86RA-Qr2heMW{17wnaPxb#aDT|;Asb)2h;@^glB7$tsZ4b?6y1E#ej7^K<~s@h*v zR2YWbd|^Ye44>Pee1OvU#_wB>oa1w--&5pVmC5B{z>Z`)Vx>y)zI&zE2R?!GMx9optz+^7`Wkbjia4_9|w3X@A33F%rWN@ZRn5 z)Y+%?in-*V-G3j(?q5qtaNE9-J8)02iA2VbNOVvz1iM~+|MWf^o4bL-Jq-U`jcqMu zuUnND*OVC@Hm%&W<6o^CgGM!o0)0L0;?Gzyo7aUY*ww&EM-J-nqkl||K0YPc9EGXo%3){0gWok74q5??=MI<0L`d` zDTQ)(R&VgY`$0{aZSAbQ;IlWwFWnw0E_V@hyx{AFgq~JALD^3K{D!;njfPbQI`M!n zy;;KF$FzmV=DiJ-CDZIeAw9md4*y)r`O#xvCm@Kgjz=h~-Dd4&`Cp26Uxm>Jtc#^I z>r)3mbu}yoVLMFp5OEvIU^}9<<#`H!uZxz%#BOvk5W1DNYb#%etpC-|jq5p1y{Ac2 z-0;`u|GE6|#u-;0iOp}u^6sJv)D7EdYW@o?2&HTZw!>&kw5nr#+^EJ)5b!)5R)zh& zrXhW1P%FKtYq@9}s540!^?Gc963Now3ffDIc*Eo1HXuy17TlMNu zqx^3h>i@vD|KGeKa>_7lI&a6jg5(^ElZYHE$~>zJiWEe&ziVs9%?PIJ4o#do5;(q0 zH$YKrJ*m)>s0`hfO&e0Tu~3*kV9{q|O=^~V{{6pA*N7>dAS^y9-I>xzIm!f;RhC3W zPx{lq_{F{|D~FevOA^V~jv3kK-NxE{{tDLaIguZ*NZCqz(kSePzGzY_qM3?R`f}v{ zi)C_#AeNeXu5wx^&$L_LOgMBo?5bCkjg-xE$WMsq=m&c7z0LwU`Et-KEX3#OU6J>;Y;<9yLc zIQfDkwSBt(_;!O$A=9T#<3eSce!Ef9)DO$=oL6yF;IwL*pvwM?n@SHkIC3t~E zEs;c7;9yN&XXh~=LT5Gb1o0_8&r)8myf-Gf1ys{zufge0k|V_u{`IEM`V)Yz3>}r=v5s`o$F9MGVJUJH(O0KT%56&vt%VQB94_`GnmKup;IVNX^Yls2=R0v?f^uzfsH5E zH+C2PK~=;ogV&Et)%^mWH<{HoMra=S@*iMqGMhQ)38koca)+7nN8*1&*%PoT_3`zY zO*BLPB^nN{WL!RX@}wGI3YoV}6@Z{YgKP^(Yb@tcV?uQ~4^kHZ-s*eFL0*W^gA(9W zz5$VDZv+*=-}ff-Yp0CC!1^>+`o*s z!I>Uk4*AiB4U=?7(u0fny@u@eUp=cxZ8!@F@vZBDLKtsZP^$WEzZO#6f{rwS0$%pE z;cxMxd?!H^S*by9{P_s}9Qz*>=%naqD~ciVTKrNUK@-{m7s;LrEqaJXSDvm-!ACcC|Q6F7^=ABYe8 zAov|km5m|Y`_JfjF0(p)Oj~==pIV_^;tCqH&wgmv_=D*zW`iK6aah%51RpytNc9G> z6;JTE*RT#i+BMPT&$RO@XXudERwM^ejfUd$qRMWfp>(wuzktJn{<(p5b*Sz$R*INS z*3VcN2qph<6YIl~<0A%1BthiaMJYJsC+zHT5wED~7(HjCjP%_o4%*EH6qiI4_OEyc z{ICI=sD(O?-O1npM#HMWm=)}P%l3DaLzOK?5eq=&KL5;gBqOpSa?iAzCjwD&&(OI|T$yW6Dh%7zvZ z{{cPx)7t(J(w=@BMu4i$Xj$A>Lw+M(Y@#CFp4)eEn6Ewws;DC?-ApY^AnCf~kdnTK0p#nKI zxCURt)@nf#-oC})F>SQ+lVRKKf6E({U%n}_U{KA4q+I;z06Y;NEOrUYzEEtL{rZZW zQ(Hs}P*)~u@(^I}zPg4~F*a%V=?Jhs1s=rJzW7?D$W3klj~61!8J8cy{^qfM#8dbG zSen% z`o})ay`8K-TPLZYY`v37otyKH4C+qiMIP45>t=M!P#=-wV8mtQ5R5a ze`a9T)_bDy({<12kb4+L*cSQUMQ>mWbXArd)z`#*c2pj3zfMHK<*@S2F|#|rh_@}T z67ii8KLYG2X`27G{Z!ir>3_gmw=Gz+r_ugr>ijD$0p1rj#=HUDGWnx>WZVl%>rSH4 zi?dJT44+Ny| zg?R4=b22fY0tJ|azQHUbDk$s}rp0{`&zY>M@bgSjM$LL_r@VBk<@r3iD%d)Y{l$?& zzJEQ)ufDKS1n9F$jE^$wVzJtK^*;6vispqa)6`$?6!n#mpmxvVGHx8uc3nH z|9S~H5At-%8Q-{|EhZX{Yp)YLW7wsn5RMT-wJDeS0DCEt?`O#2+m`*Zur53D@dojP zsOtAZA{0^ut<{1;o*gy>&!AnBTB`O^6QU{q1uy=&VPZvx^pu7DOiQ>(eDgdg)IU3U zJRsYnD*qxCS)?g&(jv3IG*Qaym9!_y@AutIK4*L;ZX%wim&5O#d^tKmSBZuLyVENC zNJ`68j+ke%+RowgLFH~w@u)Z=O@>Klclia=mB9pKfEGhZp5*nNXo6+iLH z#=yt#Kp;A_^7LE`fnauNy1Yok7)F<_JW7+dDtDCR`1hKbSTBew3W;1~ul;e?KIYyU zb9UnxiizgNAy1jXjaeF@N|x2hM3z(J1m!Tv7}S`kDF2&{b9_O}WUGS29d z2)mpxGgX1Pft??ez~uNy#R*qdCy$f(j5`o`-Xs9ePTyd%SJHeC&VP$$eY#OBeA3UYZ{1Znh_~aQCP9cYQQd|9k zf^(!LJT3*e+GF4VcHwtiLuUF9k#T3*x(nu)ho|J)X#7_rzQ$0rk?nx3V-Dj;)^E#* z4)ZC(10%)Ol$<_FWn@bLP?fa7lsV<-+?%xcM%r}#kB6na4jA!t1+@Ah{xqKqfU4G$ z|0&?>#84>L5-N~)>{bHJoJ3lFxEyL(7m`>Hf1b6=MMwTTs9y<~dJl}AtmjKl*~|Uu z8bU4X_N&he$y^h9*`pLkPeA-p?}zS}Lg$1&M|p7;ad{VDPO^iJ@yTXZnEFBa4l#$$ zV0LLy0Xz`0D_;J?gQ@rCq?t?*t>=H1TnNIoHxru{^P-%9o*~t?HN*rSmBcTyS_>3& zSVcE-pL;y*{XCLL=71itA^n+$UwHtBFW7kZCYN~{$A(y2^_m8c<3Tfr-l55`9%ca( zSj`(%TDLs`^xC%uznMPy{>}nl@c0$nGYqH1FBd-m(N&DHxmH(228OI3a{7IQ+1c-N(I19n6!yUw^*18%<+ezIAlbcb)1RCzYxm+IUTJajKV*>iNu%zT1WM zpoS3*j`+C|T(aE{XGm)Fad9Uw_44BFW%mrDc0&B8KfY10JbsF}|B`_NOKujBj9{}v zxIH5o1&r6mf8FNzhpRIEN58n9S7%}_!%6ROy^vl&aU5Nz-84k*8MwG-L&R9?!+ZQfc&Dodu_6hIq8RRMItuPWZg$=AwkqHPG zZAF3?63MUY$RGp);7PMT_a7)uBw{I_PYIt04gm~DGO~j37uzLI@2dnJcp)zfkjxbo zHt?S@*U4A;q5Y+Z^G zGzwqvbAgHcS4-b<&qJ9kRe0cDl*IICk{Cg%Jl_ix51AA53Iq(qb+fxD#?iG7Hha*2 zWlTu&!XAFsm92BZtU}G3g?@{LQe}eY9izmZY+uVqPFFUbes622UB5fq9JCMG;k|PdU-G-BJs+W*-o%9o#&TqlPyE7u zbwL-b*t9GKS)*GsbC&OpwJ#=nS`DXrAI5(!;@NJ&e1Dc!V`xu4*}qJ#w1nQj!agum zHh{bv{n$-%XZPi`OQ z%MF@Pef@2J2B$87q;2~a%w5ecb_wP~#`d+l|VS4xoN zwV++jG!XQ&uh?G;3liCmmJ>o(N0}Uc?myz^Oqv)@VB@4E)CDE1kuuLPDlRc4qToqt16 zX#Xj&;|O=~ZSi}Oat}STKrW3XS(MM8oZmrlfri3A2EyD$mYK9VcL?HRYs5!l4FUu& z4~@5dFg_}0=JtBqqOuz_TdHc2;jrV%bB<5|iexlTAdj(ODrwvF3E1a{y=nE&8!2}8 zA%}I-NXIBC=zbq6033DF?V<$D1PuNK;x-I4@JI6(#vFDF;em{gQoSLWI`wNR6Lla< zt+wR6eb430k0Y&b9D$ivSq)4dldYaaOe5IyyFk8_kd07hw)I?_g$cGFHp{{PSRS?{ zO4Z-bdq#7rp?v{}TsNXCW~WMe**-vo1oE#R$zJY&w`^xhMC>>kB77Dlg9+6>Erq~j z!w@3*oyYOT=F(KELgR((7=wOINs6iGYpRXlp8!j4n$A-^K#X>zPe88C+V+&Ivo#y&)kQ&7aL%_+ zcI$ea^a_n=s;J>3cA{NS7$Cla{t&=3X3NC59K9CLvIw^w<>;}f!-b=)+T9A>7tipu z(ap5wM*4bqmCFh}vBSDinz>)eNre9Ak0PCQ<7{w;7p@n!k4HZ(>c-iML|#()D5%js z5m4aOVH93@HNe+heZ|RR8?p4m^0}I_5Nbj%Q8rWwA(ivmLzne^^A_XJl8`}W=4@? zp;G#4#WyqkU@V73*{fPv4K88mgys@hkI^Tl^X6L04$v3oPgb-iu5d8MH(sZuMT;$rB^_5|{%!!H|F-&x4sCcH#jc z-xR|cg!t#nu-8vJKtYf$>Q1$>>Ip<2R2WK%*=TAPMu1Lk4kY(` zrq_f{*}u5U3^GDACuW~~$QeNF87lkn5xTCh0FH8b*8Q#h^>nE0W^Tq#sOM$2r!(R* zGXC$XQKi-2zRw`m_E2{BVrgPY-S>ZFvSxn;f5q#O#1g`kgvN|r0TN-Wl~Khfbp1et zr1=!{7L^-n4FPp{t2>lo*=VD(LeXoH2Vg52U-_K%>$K8fQn21@txAB4Mqi(U#` z;a`?gxg1oYsh&5Iw>fl%btNN2iq<|7omk2&G3V6N97S{9qA$mH%`Lm_*|M#(*kwqKv&d<$%@RvzdTu1Px*0wX?UF7wa)`GHkZnBzlY%_{^ zSlMur74j53!d@eOx+oUVB}kr?8tjk4+oUKdlcXtHIJzGZN?u2KJ-AX3v2@jq+^b%M zbe5OHmv<;$#L-LO>eGO$sI^bi*3j=U)^mf1BStoqw|N=4s&r7(wYdC#S&dQO1n8NR zXg2;jd2~|e&<&OSHMkBqK>?6IgXVm-v0pY(C-va!&QH>DX5G-js>itJ!e56}_9VYL zh9IOSm}$e@ZD1bcZ{vKq-!MycHQ&^6JuG5xlm$Hv0NRe$fNDRGb!+KLbc}O85Ae>} z&uInAA|mez3zq>1r24ivtzkD%xa4sx3(8HOk;vs3B+8Yec>+ed^CZqIw)pCiV?yBQ z)as=U9-&q`jVyZnm&0N}m&zByTAc<-7w)@A1VPmK$j)>gq9 z9Pkp2mKwZ~HlV+ub+(Pa(^q^abt`(#kuVN?TE^cu9!JR&JgPEc3xt`!h_Y>_qka>+MOq{4mm5~RPM*$6fq-GZ#|j2a;qR3dq4lM>jUX&Kc% zBU$cU^tWaoXGs5{+G!tv5ezsOItoZdF;FYC!#?|qm$P;K=L>?r=%vykQV0wq9(iNw zDg>x~4efFb=8PNb+>S5QTM|9a1gm1+j60ZFxzOZG4xEr=f%S4pSg56|?ATkJW7ASs z)2Q)0(-ayD)C@AVYpk#WSUL9iIz<7kBG7Z^zb`b-!G89*y-UoC4ozx`MlG)qAx;Ob zb9WJ?ZH10Z<7*FAOU7_DrrJEw+TePap(lLk_Fm5M-5C_AtONV2TJKb|6~IX~Tq0-+ zgaCAy-fG{L5RYePVEFx0V-+YUQdhWnhV}I0?Piw~kuE|(yu-TRmm>{n$A^k45Yqim z7THmua`cr^u~kJfW%I5XRHo*&4HvrUO~__hjF|Y`{F>IY=gacv9@kc6`pt;*kf@PkLaaG za@TIIPEyS=H^2-IN17i?PX(H4b-8OzXzfEG6J}%toCb~XMJLZ79?&K-Ja9e^yxt{6 z#p>e;;_wcd=%Lg$_gc!x>7O2TV?m}jOv(c9E1OLp!dx=gp_&`-dt=Gb@JhTpuI3YC z^;ji3w{KdLEb>)YOmWZjCxH;i4viTJs=v<^cwQ-#gO3279cuI>MR9Ou zZ{laUwsVY0_cSV0Fl@2Yj-u}5-$s&T8J6r;-O%{*D~qmtIb!n13>u`+W(9{r7`)*RK`qpuYXMC&7uE5Z{&wrDG;_0{lByT0Jy`Q`{9%T{0u(kwdB8!lQXUN zlH=#;6O;)|&9o+m5ia*l^LK<%6Bc?E6FB7N`;qDXR3MUvZ2_jn%37FeegZG=`~=e* ziv26InaD*_kgXq+4t$&cY(8zakx=FBhc70-d^{uMnAy=Z6d7$yX`kk&SW>k_Q=!)5 zRn5ec68R{aj@0t9mtn_IXJ&XGL%R2Nf+5pOuX*_@MZ89qPI+KHueW8=3wv*syz;ia zP}6x5Yr5t?N?6y(oO+S38VDuR!f>X1ZDOdq1J7lXt1RKz zZ+yum>gTB6uk$;m_I}d~pZV|m>NqbtchI*DvqDU3)8q%vpebt9W5n@ih|6b~Af)t< z(uMCn&?7-zO%9v|^rESbIp+eYhWDpTXbkt(%va?S{P{aK*YuC#6hPp`67lLk{+VbR zZ7pr+oz?gxOHMn!nw1U{Q53|uHq=vU-CITB9%PUYSzEkM9q6*=?7q5_^ z5^BBY!5u)xiMCc^V9t0GWIj{2QraPRY{&^X^FsAe9e3MLBAF2>5=}!60s`O6NI0J= z`M=&kv#g42Asn!}LJW)n7{X??jWqYAS3dBA|3Teb2E`Rd>zYV#f;$Zz9D+-5Xe^N6 zl3+oC2iM@xxI=&-!68@(PS6l&+#Q0uyL;2!(>b@!t#j|pR88HPA9sFks@c7(zqP-; z_Pf@4-W5$M@d^;p_SitWd2-FqpI@l^t6cU#6>x~z=OZmN8rCu zqLy<$|6^PIcUG9!{pY0r3Y9voU=n$QM}?+rr@N=-!`gwtmHx-P1Nwq~b4OG<2v?3h zFHo#qkXd?BF84i(i($0NGXrU4BI>(qv-e9vV+8kLN{c_kJyZ{x?a17Te9aw7`ngZx)M7%sLEBu_&fXnQx=#Jl%< zaZFFXG6(7C=*p~3K!hsOYtaeBsK&hZx;0MUdcQhQSjw;mpZ~Dc;B2f;+BRY;(?XQY ztgNbsT3K#Q;xlDJAv2H43OHs!fp(6|B$O9>h>R?DA9kQC|G%^=@AU^7E^t`>OTDIP{THP zsmtrE$a6_UnvVy-@gL8T_Ewmf(Q{>U3^<7`0!_g6YZPafXDLtH;Vu&^zDCyvgeKvD zwSuR6ydhYS(tR2@r8;@Zd@SWciF<$j)NU9?=$UOe$r zuFt|^t+XTVRR_<~U-vazo`W!B%*`O+Vx!JIZB6v^04L?F{rM*vG{WQ4m%mJ;@(=R( z6It}Uou*a@UFY;?^i+6>dCf2rta5#DRCuZ9D{4{f1Yol3gU)U2h(CG)IU23W1bQzz z5YwdJY+naHN7H)DTZ`#1(!V=ud0tD4{E@n8=lJrwOQbcY5t6HF@1T`@%xSk$Ln~Uf zwpxo%HeVkrTVdB8(@gqPF&8#jPxzVTJT_J}^USZ-X?Yog%mdf zA9c-0(Yy(MRP(yn@*a=KBnUyisyIe|6ARF8##}pLhEEVIRYW#&8MHc@af8~;qXT-j z_iS&Ictdx06(>3nX@B(@x&7$Rv*?bb(u%MKrtbY*F6bNXBHnxHyyO-r+D0U_%5)>x zPD@;vcm9FhT#)duchE2Dbhe`Z6A=vhWe=GG3o$1?yxCyqFyek?EM{F9H9{`as7$Bx z?^Qmsb|MhoG4Mm_i+is9c^se)36Vn^vl_|xH}p*dj)dz&AdHiWv81m=bD`Nqp6!vzvA7w{0r*cSOp~Sp|r+uh}J|bPk zxpO=FYxEVOk%_ZUBxv4ei08A2JL5>q=QYEe=*mzBY@3`2Z<|DUFot-XslPB9I{lWM zeTNvz12qn2SR=)>cz9?xPkIU^K}gCwDj{^a1kkpBl%C9jP$_u#<*4t;V{x^LX&*3v z1u-!%W9hRtVO<7%b)8JeCMh`F&_!e}^hn zSe~NHTiB}Ldu5Zu&K9uuBieJ&Yf>XV2f(i>G22462oRhfko(d-mR~5Y~Tt zCcEBqHNIkXU>^>a3CeE$F@@Nrjw8I!dz1Io2klI`?ou*Ub&nr?u!mY9ri6{yJNUP6 zWdmYj*1ki>0BRGzk7#29rXp@^DHp5~1P2~gl+$XecyHGHke)>6rfAA|ql+g>T195R z&X917q$@$@itb0wkEy#v3%?MEbrv`(hKk>uYB?-YbPES|!&YlRl1-Ri0i%5tD(PUN z?`;5sNMofup<}G}_LNB4ZJb-V+&^KXDZ4A!mO*ZGf4`hiH@11JvAxVR-%ELEH4_hS zQZ=>SGZidFiF?a5hJ%LC41l5@>IJ36Q zJBldXm;Up4u;(oVBrN?1Kl=wpDTc~}5eH|G>bbSNKf|2B>+`3c+MzCZgOIATo^_`Y~q?^&@wa$Z|1aD z_0zLu2;s|xV!V=20Wy%l$~mhE@4OzqIl(=3gwKg&g4Pu`G^&7m2BV={~ZC@P{pg$9#O zKiIzx(Y|c1l>O9oB`L!(34}!_$vj<7j6W zB_fAOg$tivVK_9SwqGgj*Dd(rw)TI|T~^(fgF8>1{y;edTzJ~Q%3BL;K#t#B3S@uF zYO&|^jYE9}Ra+}ipkLyaB;azJ)~m54SZt~HB@RJ0o-BF2g?}AH2=qzyBV;kJ-}oVK z{b5HVM-}gb(2%#!CLGdlaMAibOvv`-`1#jM#D(QK(6AQGd~&@AUhTqT!aZAX&`71qW6r1BG8`77JiWvl<5@nK|{-x*IhK$DsL{Xec4?ozMl zRDatZ^${`<{(s9S`(MMQ?FA)6cHaa&{A$RRz|t=aiwwHLXD^t=w~8#I^*l?;ZT+M8 zS^x6o6;tHGf>a_>Fkt=}B6?oH^xo!~b6+9eU+Vk+iECDaKjidxpD8Z7zPe!6z>P7s zck_+A0CEmv;_PYuYJD^~9x1RKkjNbo$x;E2>Yh>7eN?(~zAb8p3CKT?KD`$%oLTM* zBKbEBj(E_i>*ltehreF~H~hs#wNg#3F3OK<`1t0Y5aNr!i#bY8>YQ%Sj_y-%-9GKj zMznLkBDdHA3}#pw57Msucpc*L0)s~c)R)0`S@ep-~F zlX7LOtH;N50@D~TN8Y>K{P|()50u23T?oZML=v3!VS!+PlESqFb2Rkq$!r~Sbak2| z-#`3zEyXjVn9!HT{LcgkUHNej@-3XXpmGSRw6Rf_uu)12l{kjsD;gA67KJfb{az&@dPFNH)HQ{OLQ^Jl+8F<#&_~fIJ zd>gZf09 z)=CPFWFZeBi9s&~Q*^)nAeEAki1aUR;26i|!U;)IwU+xf@X+?U?gte=Sk_7g%ZOEQ z5~@Vlv+Avel+3F292)PJ(>-!a=G@=X_e-SXm-HLOt>ZX}cS*cV6H^9t#HlOeT28Is zMf{Ex8r|dmQt7@i!3g3luJlktC+yPC%YU6fBtS&q-y=0 zgb>2#Ja_2~uYb~Txzjb-b2eKKe@YwYbS7v6iY+2~z(UjC%1AHLo|iy&nz-lq?}sc| z!|u;C=(L{Cy>d=PbTTh}@#1(0=ze$ektldT=VNW{b9ASDLdHb%M-=3qXV`^wLbzHy z`5dKY+Z_Eov+K+X4Z$gKPNzU5qy{pfy*vhgDf9_^CPzW)rB;kUU#1f-3c&u9vFXL& z;|T;0_I1CkkG&PP70i;ox*s-dT~e*8Gd8U@`K4GMx7TEB|CweBytcIqQfvO6S3wVb z$Ll~J&Qhw1=$B~R6m@Xw!sq^Kh>yV1hKkkiheSKtm_zSuMc(K7zTqz+bXL11P83jc zj}}>kgss@)htZMnkRFm_A|M%Y!u2 z=&v5vBF`Kc?N9sfWXnoLO72Rp?7=P^b88|L$GqQ$=cUqZNgtC2+fCo9=mLMUEo4HR z>5ZOh(Dqs~2szewf2HQ*fNvB0K2;eYi{vRwon3}g!pZs?SD?Y-LopVnZNUtj0>-<{ z--zCrom){?+2YW|e}TbZwEcK%A=%Jpx<4Y*XM(p$EP&+W;S?~<-GSE-u>0~e1XKFV z!29WVKHKKsNOB&r3K(hm78t2*98!w04OgfDc^ol>LvD~Qfa4K-$U%b7KyB$J8^T|^ z1GN@ICqZmdBUI-X{tlTrR?IPwV~dF7F#`-%t?*Q?VbZyRF;T=tD_=>+bG`o=oHlbA zLh*hcKkoY*8yQw4Z%Y+fSArqV=Ir0F=l2k0ttO%=kGyexay{cnfJBqyeVlO?tx!!1 zO3o>Qthz=eD=H@Myw&+W$(e1-e`f{en`zAmS~e35{Va3PxNN?O$9c5dSxvYk-Q!)T>15C)vO7eBGCM`=&`Tt48l+vm~!wTJVg zE3?nyx33*DqHKK03|P}FDerFA(dgnuiDA2_Vn$;w$xpAUexa~CDeVBE6WtZv>jLg|3+T<*3od%~waDezj1P}_8xnusP-qIs{L0V( zx+BB$tC)V>wjfv}S5|^u&T^FY31;hF7$BCv9mkRuvIWg@Ky-TPcZkJ$u^+7M3esYv zIGmH9fu)LGd`Dk;GPR99Zm;I!>+_M5v5j7ob>Av1eFfk3GRV(FA0n&S>Ozm1174Y3 zJ@?=H%95UTp@d09JJ%aj7YEvmj@_W2-`($u%y{)n5E5k}Sx6#c;|z)1)Rh@bT&x0* zbqa91Uho6<36VZ9N{?ItM*Aplix`*!$#j?<>+ch~knBA3L5^t?sxAGqx&Ju?j*R+# z6}$Zp%)J2UQX5Nq;njEcsbaPqfs=6lgcB%a<-?|gIByK2vG3G>oNK-v@qhYQ0QwEs zf)$Y zRmB$bkX`GS)pag>cjsr(*?^G&L_~u*+Svw_gW&GrP17_0kinZ1e_eKmia z@;P9W%lc^@OfC2)#i3L6#SU+_L~Nmt{H#Nd7vqIZkhKZ2qc1e&<&j>C0u%VtI^^lp zJ9U`!?#UFK+&c2zYVoz_yn|tHhRnUjN#5jr4=V^;lLI7TCYV2-^9$rrVcPHI&z1>o za<{$s1ieE7P2^^dTGF+6e~47IqlxC?@g;%~Q>GvXA*Q96GuJ7Ed#r=V80i}K>oK@FG*8M|#ZAN_;wy%u-YU7n%P?xc_4_@*OQEeO z5|xf$IkON_+q)InUqJAuMAOHr3`jPSG&4k>>pF(Cfmn!6X-R&q+TkPX0;4H z^^47HmRy^COhpN$E;uMBycbcbfXWpaMnJQ*V+LJsC|q4;KJ^Ue79;&m`?yX+(qDjb3-Z5otc331Yxw_3KBxylpi%BWr zKj93r;4&kBy2b7F4 zkd15Fareo$5B(DzL2pp4{IWmMC{qp%)C{A=gLqghyKYNcO+FIkOz(Za?esQrAVvZ@lArf^y6cJB~ zpKZq5u!cTlKQ%S(o(=DTRR5cJe*7#PTF%ltNGj-zkVf+Tr6L0h9i|UOHn3yx0aA#m z*vsnA%-3I5+GhyAs5D@jPcjHNnp zGL7X3;f^HG-4pB>YiE)bR3D?oyAR#=yCwOtAWq|bs(yy8?5&;41V&aPR{!I19;@dB zh8;X~JBe1t7D5o$cc#!uXyaDiKjhpi!^1-e_}=Uly)(z2Du%x zE2o=o5otcYsp`MvLQ`J7Pk1PiJg{kQr>=A0=4gtPBPWdh`Tq(p_kYEq(*6ZmzWO@`$)??(7cu9B7XKg>CMyRD!?v})M><-%kb$cI)ycv zJJTdp>M93iNL=JQLa+Ol*6u3XZVmR9p_UJ_xY^m2rEPO2_9qRuCN_CyD^kS+=LsAi zjOEmId_iDTv}+rnOxP_UPvg(FTzi6xlT&+k&?Uk4HX{@djp z0#QHb-K-fdshCSP*Q9;(^g%wo@0FW?SHy2BP|jehKYSikO}00dSU=jd-GWX*Hey~I-B^@VPg)L{=POL;9Hp$!ow#Lk`-sPh07Whhfrg3#2nWa6_fG^BSau?&#=At|H z!jSeLPV0q;Qu0~(tC~+5G#d?Y?Qin(%P9FSRzsDW#%7fO?g0E-CT`<7iY{>i-Nk{lVvt{%w$ep@s#!xQlgG<93*15^t zCZWC-Y?M6#qq2rD#-??AnwsP-69vj2Cu zP=yWbV*QIM>&#(}P)P{o@7|g2d+FEt@(0P>4b8vAo(s`SzK~8wj}+i;+(?f|SLIUh z==t1sVyO=ZwmH6Rkc*p{psUo>i}bGkzS!Jt%^tS*)a?9x09?@u52>Bv^}H zYjD6|=UNWvyT{>KuH4Os-htlT2{K96E=b7MYcHyVFk^5a?(|L&1JxfL6nkB>!Uuy9 zLfdl}G*S4jH$Kjkr(yRuFP~KT1#aQM?cs_svCwiiHS-ej>KGY$cI)ewZB6*W=+qir zms3s;B-oy85?8cQ?rB$|0ycixjQPw|P_%CT(uKV+FcqWM)e<)|)(hm8w}==N>0ZTN z`Xa|eHPDUyl^XRUrKV_tLLBIv?^kb3@3*6vn%KP;j1JO0W%;jVBd2uDe%;i70PMo8 z@%DXX8NDcU%%Ula4iR(Mn`E^@=mJBbO(7zSxBaRRed6clOZs&WDca&G4xDt%hlr)O zc6&2O_p7X4JBh=+cP8qv<><1~8H95%U(>}7euk8D$?rIJozqj|k#Mu%&$?wkh7R)r|$=S?~NaYWS?uIsp63~Za*crHY! z33kjxC)t8n(>K!vMS)cZ^|yr}CN5yGd^GMze(lVelfe5L#CR=`VH#;=h^xJsszJFo z!(2Mk#UE}lcKcN^hi$q<32R+bV4|Q1-paeh&o+}{rx;8=K?G%U{tB;s-SpF6SKT5w zy!B7r`nu9vEJm0><~JzojB~H4AxPg;7h!+B^}Fb3e(qjI_}2N+)E#kQ`&SZda`><& z35E=x#kc6#k2e8B=zUB(F>)Ew9|=_ynCXa9U%$Iq8s_4|#eXoW!o03gP$)G0(*7yg zp&hY$+mGdr{RKAU))M|0@fwENfb*Oa7a!y~iTIrF_c)aCtJiq;V6dbkD>UwwMYTTu z&;3@_AGo{iXjV+HD@xYj1h-Y*UXH6lK)N1|V04LnZgV6H;|nM}XEqz*`}J8pvj4%U z?LgU&yA5H|I?2`;l2G^Msh~I=K}Hk$B=V&^ySku0j+{X??Xao&0NhWxkuOV6$M-NJ zFHG)6JiDkhx=*CkB}#(RPwaDwrYv9I+?T>4mi&5Su+gh@Meo614sYn=Av+_6Je7tm z!j7SiH8WV0eyh6J6ltw$FDifsQyOz&C$WF@&S9{e3{pGEP6lB#eS@KW-bMku(ikgF zQWhJbLVXs00=jQhk&O?QV!0um0G}6N6|E`C#BO#`%qmCRc3a7B=+@#BWXomUd?IIS z?jsdfjBJh|OPFUat?$y(u8LjJV!#U9vaKwhtzm>v*aK|AX9y*+_vryzJ2mB8r&x!e zST*1Iy2eGvr}~rnUDm?q0FDSaQa{WdfFfwr)cR7ao!ok2-t*90PR+dRYmZ7Yo3Oz& zGewa{7Y+dlTFsfZ4usrwnL$xE$E*K@gbz1^a$}<1zmh6R-fzZ@BoL|v+->o^-8UElMs*II9GvI`D<0YA-0b|F3omu)}Hf@ zY)?OF?%Mzf^;20iUwsle9g~ZvjV7(M)EI#;cibnScw>_XIPhVJ0#ve!T_n8UNd71|w2ctK0LL}>4D$YA;uA4qI zD(W<0FdIECnQ9dqSC`7^G8QWMMhLEB1O>?t^4%%9uopm{E6G+(X06(tl*$iM9Is-E z=SPBA{O@A&1jOl-XQ2_}Zxb3Qm^22;V7iOaGof(gjxxBGc>EQ!c+rKO!G?c!vGzEI zLA@=!yMUv5#Us$n7bSR1?ZYP#N9|BIudS!IyTj3gKY92}3Q5?@wd9aZkf33$+;As; z&wb~2Ks%Pe8%FgT?wCRk_5!dXh&>-Z@90S|m!g93j`tz=X~X)chqT}MJyv9<%)1D} z#>#LbFu{pT_GAW`8-DS|TiL`S1hEf!(D=0FkB)kEjJ8L(aSy#7++hlxGOdrWy4uo5 z8uym-rDi;;tJb#vpmh9#;7rfVF3l5xPRka;=3L^7s9zrttMXd~Qds@)vK%-Rc^gUc zxTHV*7FG{hI%}=AQ{%$Lch#YXHHYBCXXDoW)dwy)MoV5cnmY8dil)p+#Jfvp5PY=! z_E=ha^0?KP1jiGrBYey=9ppGPaSy^~eT7yd0#Lqf;}=cA|LyOf^itw8EV6iy4H@f; zWZ({&-v9y@-f8}YP){Lf_3y+49#4qzF!6NytVT|OHO{dkIA?rmvux7BXed92O28Qt zAIKgbxKL70$Gv?U>*+@7dzi*Gt&Idg{-Jg`4I=vF(}!=;K54o*$e=N*%`dE6WFv|` z1|&k`9{*NQ3t1>Q09%1v2XvY=GiX?*1hY~12(W|GY(~yCej`{SlR?6qMR%ef98a3= zPMAynkO=zkIhqUNrAlcNu$T<&)H3vGRTW&5l(42Bdx&=(uQdV1b2y6sGN-!|-@D0D zw@RAS`43z3pbN-%-Hl2J6#p%nJll1h7QRK~o`F|P``+n2J1s3^qXsCWiU8`L&fqTw zUtb*S3|It0Up!nSA%3UMBF&m1e~QPp9-YOnF$Rs>=4YjI`>OKsPu-0wh%0n!ZRix) zU5|Dn%Pm_&QdqMsP6C^-$X!S57dd60(kt*28N$S+ch&;$oqOu7aTeom&;C|btZbfu z-QUQ~wbSgq4N2E=DBEThDVAMtiJKX3^Px(R93ORzG4BpfL03|29qBODuqPVEjgiv3 z|B024Wk%N8tBpV@jS6`Xx{+B^cIfL~Qr$tle~4N<#(W61wcFp7_m~jHpm1Uef(8c7 zwXjz`epjR0eP<#p>)Vn4i0bNp=yOrIU7|3twn^r~F41{}*;Y#IWJ#TfJH&@aJ+Sv18Ne=idX5Ixkt+l-&iWuRz1 ztZMZL6ZIs;pBca3M!f7(WN3z?+c+n|Ym+3xN`)}t*d4y3tKO|aUhr`c1B{cm2KUnm z=gWtL?(E0-m59I6qG{Y&ZhvA=Tv^sN^UdrY{k#QuQOfOJF7ck>Y8$H2z?^a&bY9Rv zhiMjss9l(f>l4nhyeyT=-%cZzC7l*`;m)U!-3J{X_=uXn+L;)F$9{D2F1c;3^QE8J z(2@MNOI+{mF~WtFBEMm0zBtVU$Js0EwNAfby_W-S>^YTp(P13b9_$z2SFj@MkZ_v~ zLXqmfFJ{m`mN99UOYUuIKhQIvPVOUb0z1>D#M1Y67GT5tdNrKCC(O!(VlOD>5&N2M zJ$+--p?^f$p8wpySn;0tDHBO@XL@I_q);MZ$>h;{q$rDph|hb~el-JmIH#O35l?vv zl*Z@}dkk0b7~EtDs@-*lVHP~me&Bv@d6=xty#3KfTsdqsl&L(jhe5r+x1Q{SoO-%E z4r+Pr2hKc@-<&b))Dj{hfeH|dr)B!=FdweN>qeT7Mo=8T+@KZpSNxJ&H06y*yIq+g zTC2;kzBT3C3*QG6EdbK;p0BEdM)7bj~nu>IbEunODLb%YRX^k z0KJdMl|?MvnGW}m{^GF&EGN!7%3^LfK14D;+Y-_@AvFEBnh-UoaQ{ccHlll3d_4urU+mZ`s zUsU(a@AvPV@N38jA(KK7(4%2ps%M(UU?Evo?z6O(?*QuLHKYX5hW2RcH9mI@w|f6- z&wjQY;Q*(66cj=4!RjHK)vWXSviz`dNsqG<;O{_s4k-9D?vy%%7$#PhS9$Y?TOucc z9a=kVPe8QXJH7HUsg{L^49{TPX3Rv6msKzwYn*S)nT16hAP`4=r{Z3S=_D{eg#;(3&m@ESth6yO$!=?A#X6`>Wai zG|){L1!kF>2)>X0{LL`h<9MQbVupvuz`W{BEN&3U2lJ-0d-T56nz+!e2XxaF>#x4~ z=gq)mI>(V18`9Cpl3$M6Kfz`$#mijypDQ#3H~lX7j#7Jke0aWEF{9<#za_i6YC5K% z{(0Br8)(v3f*7^`=^%(Q3Y%L7S5H8l2?$t1(~j4nrK!TjWF$$mx{NtJG|KH!O44=& z#nY+Z5ng@OQ_#CybS%!IW6j0*cda>zbilLyxdRy1EsMeZxvImfQ;)(OXRPhc$$tCs zSJ-Iy_}BtIx*Sa3|9$%;@x(%#-wzA@y^iM>xCh|7rSE&Qd5E7h)8X=iVn@C9b05&1 zn&)Vm_x8A@ZcAr(JM}i;@=P0=KPwIGGq{2_dH=$_Km?H_8?VqQk8Z>E%rAEmJGr|I zm509R$NI|TI+;>u5^LQ+2?>(Xzzbd2q`SL?%`G?s?#95-*5|A&#!Q3cV#dQkFK8CZ z>3w8wwrg3){&;aKJ_Vftd=+kt+UEhv3Fmf|i~s^VBOEMzLJ?IAtEvx@4^OelO^+el z--z+VT6ucky>->}uZBBnnCt1~H*^tyUo;l=7Z7j=f9i0sqxR`&zN&jQ1-Yp$oC3Lu zl@Kr)`HcXoGpK*1+l33D*Ar@ssk5)`4gfXX=jqbC)qRTLsUAcIpPXb)_A*ruO^*^- zxl~~qYB|KTrB8LRN>tU<@cfm7>^D(E!EP&)rS|=|OXIkM1!Q!Pk99=x>M-LWN3$kV ziPebK1p(EL0%l;AyfB86H~j(pt!T>n5!d7A;@M6T?5^c_NR_8?E6k=SIYFY=g_{cl z6b{7KPfb;+T_C;;6+G26-=^{294iLB>rFL$Pkh%3C&6sdjwxzFlsCVQhxftN5ca1a zF!Qw!TWeJJ{>%4g^l7?NZSMt-X&d zJ!x+}aOXXOLx(xy@MTqPuz|POy9qdPU)<#XygMGfBzrpRnNg3}@z_rsd)_@6idADj zD!vg=nJutK+_n{rbt6gpc29$6jz&Y2g4eu<*f+8!;){mxsKRUb@pi>QaH#?eeL@Xp zT)|TGi$6s&SIZ$rzSD2E(^Xo@doEO1ZpcD%U)89qAr2{p_f}Q1k8?DTN%}GX-Uqp3 z06<}dKY%xc7GWumpZ&3k>?uuOKx47t!9r*Q92MK9V-3OBY-1mbh|MSnIOlQaKtFbi zw`AUYa_u2ts=dZf%InFL!vwn02Zw6F0Hc=BVuCUt`qjv_WjHuvQK^4)*1Rj=mAH8$ zqQXQ*|3bx2W-ZZ^4U>?U3%)2AMN}V6FjXp6EWQt3=~yd=<^8@k-Yi!V6j|e$f%u+j z&_pxUVIv3F9yQuzT02%pbbw2-5hpWZ-^}0l80wE^Ja0jXxmb!eN-LGsB`0@7#XrVF zH%1;P|8ITpes|Yc{=jP5T1p2w{Vtk!d1$H8^l^xD4PqouZCagbY|@+knRWuH1C7^i zqImj@GV>|Ur>58f?SSvfc!>nR1y_{!2t5{ZE4NC_L@r|eod;4R8i%+Fd($*qO~I7reY!Xl6fha)bNRZ$N+Fkk1zlvT0RBp!3F*{I z(~SZUGC^$Fx)<%#&=U1>hag%=1hieq4@|K;%p;2tM(Nnl{bzS^v1@0l*J zTyN&T8SmUEgzo?@Fs||EeCraTov9Pz3*cKeCNe%ww?F23`%IB~gZ_oijF>X4EwbO6ouE6Uy1m+F{IRVSf6+qoBM}6-j&z@7W zO#O7GrsEKkTAZ@ao?JBRY)4)vzNIZoNp(cUPu^D)1lg>T{}xUWbleMnZ&-w@Bub>4~Ok@4M2IeT0g2wAw=cg8u<5f zZp3i}6zbcl}@@a#*6u)043 zR;d2cGIBpnbxo#*Bb~WUYD$wJxJn7w5_*M@S_Ee^0(Mw_VnNrh!dGw7Iwal*#JX(N zBmUq4aij9zKZ@m%A=voL8OG}bUmpIAxE&1)D~`PgHvO6%=#@fsnFA1GbTInU`WK8$8{P<5mWz+2i&9#Kx@D>oK4jtcJ2MSRSHB!@N(wH9;+R`-nw1CvHvEcwyi zLQ9DUNR7&|pZ3i~e@{6REDIS)9D)+Am^kj%KR8b3*?+{!*IKRjXub<>OB)o}lbtE4&#r{RCLYnu&z3j+ifu}bJQ z#EzyrD17$ldCWKh;k3;_bJ0hrMCpMIM<4!9*dW6OPkqoMUfR!*4sd2G#S6W-8{6=c+po?YhP>6rSQK0SC8A+ z{$W2*kGvTbk1ocTR^%rvYno<=oXI6flMc&od?xn zk5%f;o~Fp*9#Bn~ub)`1A~j5MbGcyIAG>v)5o9!x#2pjcVoN&YX@+GP%WL5dTyz&3 z*m>PKz*w@F?2JJtJJFy>+VNJjSz&@d;Zb7}wHRN;TK^H=9^T{Cm56*qxP=}lgi6a&mBjKqOIyKRHQ zvbFb%GtBnDSQd-w(M)u(fnUevqaa6PUVnrpg5VmDAFU*iGb~I2ci;zp;(eVw&-45# zgmEyH0OdB)nM>fj?(;7hcMuQLIz7*iqBkd$0<@orh@6khr3Q>q9P(UU34Ba396oiE;Uu?V}rK;{7BXWJ; z9El#K2wp&?g@T(Nfzl(yzwcJ!;a}7r*nsu$YIjopzw3;mDKdm>PX?|0(ibL2h%f%i zJG&7F>}Ex~&+Svj?YX(rw;mo5Z92f@WwmavefU;Y#dfciKym~cIvS2?$XrYU?G?9uVVRNbS>tZ83zq^#jJzqrDen7OG#c#pfNHTu(0`bXyU zAMehu@xJx~vIlllHqL8aU>BVkG^sDd6dskx{Jx1+Dqbrp{Imp+a8hLw9*is;9E~TE z?%d)eT6Mp6oe9y=A_WRB6u_eu?x0@;?!iY}8uPpX=mQ&P)Z*quV(d~L&%|Dnx8`dT zI$Z8>sGs!K(lhmt*70?RifCEy`7QzWGuaZNI?Bpt)|@iX2Qtcq|7Pe!*Lrc5R()S~ zpiJQIIRL2rFbppHQ*`x>Jc3v4yU7Fu082GoIn8Yp3&3>qPGCJ5Cf4{%K=9%QqWM(0 zjetjte%C44MtaAMHsM~xLeAaoKy%i8@FsgHI*;y}?D$eSLX7Z_0_E86IImh@gTy!G zVU)Utf1OEkI^AHK^aL;dy@9pm3Bw2j7^u5XQ(gK+f7G`iHm|CE;1g)8vX4?ed$mL{ zLgS|MA9)qoZQHM5)0w@5Ti%?9AKqbZ-l3;8O2$Y@pT9(k^^tKV{GXfV1sV;^eM|n_ z0t>G3A_$wtniXt^?4#A4F_mfv8k~T6-%^M4OClj%TW(Tn3gf;%Sr>H5luQaC{^oiP zOY?aY`v<(_v_4o@Lc~%>2O*Dn0pGmN5TZ`z*DIji6tu8ys=ZFPt$0gK6&iqz&m~t4oR~nRg6R#7Cn>G6Dvx%`elR8nU?g{%XEl93s|!R--Zw@a(ku>Oh9? zs2-6O9;xVesz$K&SBd^BT-L-QYAcE+c!jo>wk-eBH|cV7*c^t9ReVz{r65pQ-p}c2<5`L1xtfHOOR<_9^ki7J# z550KUJWHbJJ#$`W_TwOB6iZo$79YXcdOoqAM6TbiMRSbL*jQVgy%JBN@%d~pdIee< zQzMzaYC|ONRI>9jjGoxypx7O+U4tN$3rbUJc2cEmr^uBfIQy8(`rSs#@ezn`A$(SA zV}aOxNMFsln7uSMS#Z1#%-z8MPIN6h-Agl+)7-jS6LFcAynjchjYJwy2It8iz<4!$ zKCc=fiE}vwnw92j@PM)-Yl7AsKir7B=kLE;9Cc^ZFsJS?&B&KC*cp(MR}nJ&hl~LE zx7{R@PYvH$A3sK~2YBw1R1|dgKdeK~etGpG?aY4MpMxp-HG|5t0OPl`y8L?eRgqiN zt6N%W>x(cE&wISfa7_MJN#j{>+q`4Uaham8aU%BC^HC;hY2RqrHce8@;%+u$?=RKT z@5wO6Z2{iQ8Zfyh{t|c0yksuXy_|M~VKiphQf9TgB(sXtZeSz(U(lmN9FG&^VP2IV zIDJ+Ft*BUfO5__O+{i`D7&3JL#0maKS?1I;Q4FOKi*JrHs1ufpjWx7__QbS7<9grW zW~!4#XAPI{=K)yPEAw1YX40@MLLl1v92J=Hf3g75^p3MGB}0?MIps@BYmAjRunEX- zfP04W>vLv5xq!=T-;_S7O)UWPIG`$J65L|%Q5iFv-UlS|2!wY>VT3Z+)G?#^Nx^IVoGf04q zQ&R3lua~AOK}j+Dw&r(6#NisO==vy%B1OO8=u1Nl?;`FN@yxKPLWzQS z^eS&IL$6T-NIA>c1Xlb@)KD=Wb|fG5qYoYr%Yq}7D*ul?dvbwe%=wYJ@-uZWS&V>k z3a`fV4zD8Z+5gf$NV6pZh8zUjuY>a>2V zTtYu=&x}(1PST?l90yl=DC1F;{zy=)IU)M%sZz$gc>eia27E2B6%cni=kStaY;Z!! z4GPsQYYcZ@Ei_8DC+p#NKA+!!kOU@v#c*|2n{1WS%j}CHH;yPkOJIdx=wmh_ga8cE z`bYpNfx1`^OeFB0*fv8&$58KpiXi(giZC$E)UQ)}y4tv>Mo}}XrK4cRn#X(KBH#B% zyF|_xcC(m@TzY3;A|0iIJc6%Mc+EI?!jV3wA2#WGSoyZ;7)j4<*3UIT!alDPhaJZW zrImt!vu{kA^nDl(BST0B3s{;k=2TCeY9EM%r;5rp3b6a^Ah2@d0DF zK!_|_EW0HG5@qhSa@SFyKwXtn4AUz*8V7Ry$ZI_naL~Ut{2aStf1o*w(dQrbzCPXf z)7CIQ^f~+`>LapTy~19vxzfiE+^`&#W=}U`?)evUdS`Y>iBuAtdA2shIVf<0Wj9jF zc9?5(BBlmK{j0pEY3Q@=QQZ*xYcU0DjS$!iZ61e-tS(eA{^h8?zdf|$NlT9+=OfR& zjG^@gDY8Q$3R=7qFgMD@^@?|}ZpS*c*4mq@R;sMBGWvpoG-N2X)_%9OZ&4Af&vo)&+@Ni*on*DV0rHzrGE_)u)@18Q7UZ>-Xd`eEQe;72s@Jb< zhzJBuMDg^#FsiH923Bsi57#bn)35iR|?h-p&^nB%&{brYo9JUFjq zEoC$F8UWG>!?I~gQ7CPt7XOEwgTVVrR zo6hotR%%8=Wz8$*M}^HMLFyanD#)!+&Gp4vHDdDZH7Q?3_XXPzmIUHjpy(f1r9s?} z?=R>AguVNJztS~(!Qq9tJ`u8*r2g~Xr(2s;zUf>01;N6B5*VqLC_1EXVo^3uQv`Iu zg}aXX!yLM2%oAg!Y+v$Q>Aw-kunPYg7#bH^bCanJdFi|@z{<2!w;3RJ1(zipYD}vA zSPZ`{eOQj!t}sO;E02-UK)Z?lLym>GKlRnr`@n_9^R5Emhx0@pOwdW{Gl;?1{Y7A} z4SwLhlIJBuoMwnXoQ3>xs$l@!B`lfOBv+mqYD!-)Be?WBQnhqC32kkMoa;ufk)ccF z!wc%R+%QwdXQ=^X@9^}z-!gum)L=>}$v38fPUG&8zYO@9e89abFMS4QQ^d(`@e(<; z-bUQReyeh;ugHHgRZt?PJnakhgo*B?&Ry6y_Ek~UjB3WrRg?Ypy8@SAgNH=tU~G{j zZI(AA$2@q7@iGM?q_#b0b$SK#9twPneG4hw+2**gMoc267#H@17y>ck@X7us!qO=ANb^}sjf=4e` zwOn>({UYs*(a73Xo%bd(9oqcU)-KQ16Uk@& zO1=j_%(&Ucv%=uFaVIo>{=l7wQ@t`x+nU;tCex)vCf-OAn0^0a!@F!`U39xI!f8|#ZMEcp);S#x3?LjU z2!m@mR4Krof04+dMwPw z*0aXRzRIL4yBUcwR+awtNZF-Lr*G}b5Ol%Ohc30Vgd7QZ~{Zl zcBt!6()_VlWaQcKi%0614h>uBgQ6@n47rSQOe6OU2INWwEJ#PY3p;S=cmkXUcXplI+6-L*s zXX;tU@)Hy(i5!E~kq?~QF%|QN~rrkLjpEB*Xf%i8!)S%Uk4JaXU?~Fec2ecJ`;A|C^1mo@@ zD)*e6@_~-6*2G$Hd6HZ0t(co#tf8{*af6T%7WZv!%Vd&F2$AnMvWiw{fyVdt7W)TlYTv!A2TSoZmx#4KE9r^30Ouo2S0Md-oN)yOUyr7 z-jMA~YX7CFHve9)(n6}{>pGgBv={)39=61-r{5J${Lo*@tR@-8RyUaxs+LwK@oXxG zOe4awd*W#r?C=_++K@v(RPH4C480*pI<7)n2&ta^(*W`sM=KpAL_qx zalv3iM5Y!l0OKTNzsW>X0J93bk_CTM?cya0hNzr=j>2_eYUelTTgKY!-0W~-+6|>g zal_6jHrVb_`QhL95@ermREwAE>>zRLLH>7ZSdW(JvT9afZyxa{d0MJ420RXK#0= zhRo7H&H(5lmoH*0Jxq61%g3op4=dp3wBGlw4mSPlJ!?f3^qL=JC+2*MJx!i(c7U;} zW2qJpMF&EKwCjf7*{jH`YUPT@V+wUCbwUr@+k)* zmCn!}2uok+)rV#h_iXB-4B>Y_JH4RX=4Lf8f3M^;2R#XF$$-^Dns{BLT)dS1(ET>3 z=45G0DljuC8b{vjh}Nv?uMD8>-5fnJhC5N)+ce;+>6QbB1RD?nJ1_$y;Gg)Y>TwP- zXha7T!k<~$mq8Zo*w!p;#4SQ>2<`N_PviqdkU~qZ2}Xkt)@P?ICxVLJrL83da570t z0ZOY#0r!J%toXjdMecCVdPV?$UA)Ji#oKde(QsL&>de+piXhSG20vpz-HCBd z>M|)X3lLUN6?}UBb!SGf4--cOd?9=0TQ?lPXUr)8OFZTs6!T5yzCa%LAurjm@XU$C zx8*1z7j!xhVc>7}`iEe+VIB~Mo`ki3_0tOl)e61O8i?_>3xdfI$?P^L6zqmsO!sL1 zkwu_T-=VhL5{KJHYU+Ohi3Fo5$WH3&S)AinQuxjHRkM zL*VPrRkUn>SB#9wynC>|CT^6BZIbTJ|^ z9#OLZ*4osh_<44v8SzsaHR_|4Y-z#u`<5zqks#BLWx(IHOWPmF6{E5!IegzMv{&SK zO^{EDv)v)W{F0085(G4Ob|XBhl4vKrh>DaFKTHfG7St9at^l_R(b~|0(#%KqN+Y0rR;%GV7;_KO8VN3P!V`5XdD-ntsWk8h(gtRMGLJp-(xF^orM7cDMVLtwBXu_IXD!j)Ky zm6>uS@8T&l<=_-Wq;-k+-r19Crf=$SvQDfm%BVlR4V=)GD$b%4ao@`#?HS3LA7&;i zgLhkFVc8`=Ea%>zL;ZZ$ApLpi*SS>@{a`uPc z+#9pd0hxORKwE2IN(CzDhX&-YywuWeRO1)6nne^wWd?-R$8$1p|X_|QK521=9(?0+^)|J;S zn5fYn?Z>0doo8-ZNiJ5rIpgA&zYY7NZ^a%(pc~(-f^w1aV-Ifmo0iR>ywva-63Xvi zKJw+;@kaf`PwWOoVfYe@BAR#9I5DmWd$kiLcod^cv8&!o0qBeG{hs)K&tGn0MT=n% zd|+KyT*Y1RV)dT;gu#GF)vC8GUu=O6~HH zr@Sl6K(0ll6Ip6>{7bW>0_F%j{|9aFf9DZ5eNAAkn(u2;Ujns7coB;a09#<8$0^L8 z?QH`ELXPDfecd50+uND9l()<^Gcw)}3HcN9)V+p3DEL93S|Bv2Tg}C67+x8{lj1|_ zBZ)7wyJE&<0z%GwO4!f3vB^b+a??@2F!GBJ2bCd%Twrj?-LJ0@F)Ji}dP$OvtOjjN0vNcS`QTdEUkv6^OtrK3G_{9oPUIVczk8 zqB)XAgr441N}Zd~tKC-|-_FV3_lrIETZXph-AW&>cdU`83@@@4#2uRK#Ki%cwTp4M z_%;8q5l$AYS|3@0WYTeowY8Ep)0pcEWS5Y`lNdZ4wdqI~u&;vdF+5@!AWG@9qsmYb znCte*E8JEnF%@%{Q}fq^oTSf4Ed@SjccW)5)F8F;YZ*|8Tz=NkZ{=W0ybJX4{M~>o zthBIuK{!e&G$E%KmBl~Rx4L0lZH+m6Mw;o%lL19#ul^UR*)VPwm%vg9xhKLiwrCF9 zl?B4*HIq1KBRTxn0AHKF%U)TA+w;$A1{%aZ$3a6?94Cvl;_p`CHlFf>fzPkzhd-^! z+ZXhw&WLK`Wt`Z%{Ol+rl8Na7a+15cU`>1!I~6A+{>Dzz1SA9p!GcQPpAh;w4-JWq zQ)wVqOS&pBXPoDvZUx7e`t4QfBZk06Ink+H>q@Oc;TY3Gxp21VH2++Wo+BeyP1bqMr# zA#19RIIjP;gtmIo^8YrShIj)Rl3y<|9U#GyF-w@O>3_+c`|l-}Woimz{`;A#zbo7P z_mZcD3o8HpOln&5j6DCn6-l%ex*s%F#DU>LrHd}b zmD+_V&LwEWtx5T`;Rk=U12@U%jS!S@)sFkw^Jccuc$b^sOXtuuc@&4XwCrXk$TpVl z(`_lpW7FtDqNBwM|AfhPn*JgWoqQ&bu zdPUrdnt~mrAppS#o9FbOWTex37PunNCYJ8s_ zgl*g_XWBAp49un8WasM}i0_vOb9zM&@i``C`7iGBgzW$Xb?JLH9u|qIsKPrPoUx>t z%C+E6*2KmGz`ulqW+CKpw;xhDz;`qQ>m8@b3gkwPH@af5Ycl2Z{eaG~f>~uj!gavD zbxIHzN>v42_2dI>rb2FRHL;#Y%F>f1BFQK)i*KN%&CzS%!P)YRCXcG;u#`P*1Lz}6 z!sa`%hU`sjt~qX&ad@c<9)?^m>YyJ7aStUtwgfA)nycA+Qku%Tw8+T&{c`> zYzU_3+wDPRTIB!jQWUKOJV4y+8M0g-TB!bn=EDM=K%t!K%Xeeid`~mhEnZjxe=0AJ z;p$2(zP;S1E!2xKg(k8Ep$ z+MCfnIbgzEs*lPr)f0pCw?jZdb`zPNxA3UD@`kX|R$W<=hofsK?gEs}q0=>PgGbou zj?g*weH%i#fwgQl+H;AiMA#;vEnp^pdimFkkz)>YK6=cp7;KdjkS`W)DpHkdcj5@{ zIt2bJBe7qz*6b&_<@_k`4+X*QvF)hql0RNv$xZ?T0GDF*$?+SD*nYrwPsHmB9rwV} zA78#d-%&_rT!CrAXMQ|<=J00zT2#=7Bd_f!Hj$sj?&Ur0`WE4u;Fs6_Nof}gH&Hp9 zLFcG$kLJTM$-dpqy#cgB={WVr&y^b3;2nEk3u}RKOSNqwJ~gSLb!1o< zA*S6BrFOW8O*z{u9IvY)G~tI=eELmw?kK<5rt929s88Rzr^V*=vo*R$Hx_1~U2=}* z<@ku&Fu?$0jaR9vw1Lbr@Mv#EJzmOl?b~5Se!n_(Y8Nj>n#@yV2Ma71(#{$g$A09FAZC(CjNB zSB&|)OdR@%jDP<>_F!nP9!!sz`_Uwr#hqe3)#u6q@o+gM60hrFnYW1LV10u~7&$PJ zyyU0m&7NW@H!?9ML&?tyYFasXcr2fdT+H^@z2mx>k(;eV3+m%jwyC+ zN9Jb?Zo$T_zqWtZuYFi9$DbV=8xLQ6izZkT>SjI-)vS)(MaUxauO{+t@k||a1`$X8z^^b zgeVM@n(76n528n%8g?Lhp!APNmL*{_-$D^616eoo3vVgsf$%UpZu=VqmtumS0@`T28S6ddLQw z*Kdm7Bhegrg7tL<=3js5;kk4CN4BCrLD$$G9uthsbDz6L?{BZ=Jx?EpcGmv#l9Bnq z!ZNueb;!vP!d!#lM_=i1CspXm6h!vOghF}ZO;IC8A#c(e#uergI-^5j9W0dXT;$rQ z;{L1>;79W+^tVRF#Oke2WRUl_ z*|X-y$N04gmk9hEXI07XKS*ArfT8ba(q}#ceD7gie6*V8qC|hJYTso)DnXuN8t4@m zr%}0BXTkHk?44Qyb{j0|ZH?9Ynm&>AnW{t`loTdI!aI?9JG5f9;iX(O4=!%RH zeDc+e#Pc#m34jJHoit)$Bjxf5iUmy`YU8C+XJj(!zx7(di`Oll^0#6?GJEdgdGrhx zbAL?Nz~OIXa3|GC3efDd(q=+ThcmlYBz5IhM0!qfAmM zx&2uv{g{o_hQKzpNC*jFBppUy7@eyI0h82_0XyolWXRjpZ*r1MFq>07?<)`J87D0mDP>7dw175?gv)5o_1bK&sC9=> z-GbXxQi`A3th;P~Z)7YsAiba!V(y5eZs95uS%#`boRHB0EPb~ODa2aDy8HS1OCTo0 z<_W;yedk$WF4wam1aG)dcwT)5%H+U8A&-Oo2>zoC?HO6=Z}Q&JbiTV%r(h8_?|1ZL zXwKterIcRbxCO8?3s=eBHF~$tSB(fGYj29uviN0E35VVpN#f9jo&c-Zq+hx(F?iFA zpV{U14QBGq_`zGQGs@vfey#|A`cc*R1$GIHNhsv8?~c5ZHR~wa>+28L?BDh)0PLZ6 zbi&B}gtcnWsk~VAwGNBeork_z`+!xvxA8FP{<`aK%8al3vU%g1$GI9)1!~Ws<=cp8 z6V{spq(0ZTL1ls<2#suHi5zx8TY&EA#}o_T_ffOKI3e-T#J5;7?L^ZIdlr6_aD1%sn`R1cz0>`lI?K7om$F`Q zm<-*@26ZHQmyyBmdY8yH0Kqj!fQj`0W(fg7kov<7NerMsm_pvnS(HUOw8w2B>_*~^ z3!&`k@AEkyoqf%<1cLd9D5tM-B!xMdrSCNMH9M6zVFSz~G_ZjoZ^LEC1j(+{;-UHS z!9P@w>}SdhsDnzY=vO+H-eUUj7#|19efu+LTO;V)^e1elwcNkPz!OVd=;5kC?AD#a zb9fq`P z58}M%=i&344}CdXdGAk1HP*tmx_J{6Fpak3e7$~wG_Bu7iK$6DpaF(aO@baYr@#0K z9T-%o!jTASfaX}M-l@GmZe2^#0MG1BXqg84s1;z%3~y%keDbQ|%O_J2sGy~aQ|Ae*b1=_W$pm0CdlJe|ZlpA_t^qh@X- z1XE1@5F5!uBx)hs54n1DyzTKdyTV)o`dz*!k@3Jv=ED=yig}@GuE;@JaN_cEwHl&U zZjdZVJ&s#yE#wv^A}~hWH<^J@EnIR`WN0C&r!mZ9kB2ix&k8r1{MN%pg6zRs-f?R) zg^)xbqw`khr`&SokSg;K{er!~o(yN9-=5ipX<*eu58ZIWR5^B^ujisPUBg^Q?`OcJ zJfJ5`qxf!5%sb(Y`@lx@*L?d30au9>y|DUT8e8eOs^V=^E%@0%($SCtujdenL##D* zE@wAEiVlpsQ=z>3mQLSU-z9#1^+rAGhtTt6b%ncyT=&}P2iu!Ij99RQW5r#8Usu}4 zjjG_^N$bTB&+AIH;-mlpP#K8~lXvzmfELQb4|4jvhinh=y=>d14i?8WU!u9XzY{)P z&-GY3F-jYMT)~omtIB&VdwH*VPgSat5bu!112-a^KRUe*S>dQ3lF8junG)y&Y0b-u z6_tzb0Vc*LZD_nF0R4;FiFE^%g5(Nu`j!|7Gd8_ip2y50xF&oA+ zfC%^j@3$6!&tsD4KR|KXFx+c z_Yb5?rQqM-uJ)ROen5))-S@KA2{o7OTnJmq&(X(+A6Nx&e=xH6hF9uu2i+}3?x{G$ z@m3Os3L%S7TdxxvdC1wZ7B^eeecz>e*?gIu`|HG07DXEKI(G#_I`GhB0D^X07U$qw zzK2O%*1B@EKI{p{A~V4Vx33;sEf|MdF-$14lj%XGdVaz`^me7p&7!Mh`%&W##>2g< z>F2){xXg9g_4E1)1Tojxb(MgFMWgf;zSJ;IE|RuM)my^U6Fq&`JLp+gQM^uQ^oTBL z8q2^*r(-*vrGvs!|f&KeSRbyTzu+&4nSpP+&5KXpRX*9y58LE#5fT~9#l zQ4}|=_muOu_s1ISKG`MsrfBD1+9AIhSnbI?ettOgd?VXYTN)%;`w!YJ4he>PbAKM9 zOjXc`9*g#9DqC`H1ys$)1^|yhsZ91Mc?NV*fPaWRWk2`Z2L zJ}5={Yav{Y88@UV4gX-^TJV1_u2-4yGFKUVn%41ulTBQaB>zkL*MhfvwY1oKfKEqf zMD9v7a}5V2aAWVetD98b_nKQ`suSo1D=(ywZ*D9m^c>_p22bi6yeFo_G}k%l&rR{p6|NO z_C4eskClN+hkxKi`&HuaGQHSnkFFqK4;)X&S+Ev482$K4uo-)UO!EsR=I*aUsMr)uS`1@p#L8{s@Oes~iJ7w8F|)Kj_`upR zGE)h9nqela3ACIYHV?*u)+B0Y9D%+8Ydp$RmMEAHaby^|DtCA#>OSZJ)DJBu^Rr?b zsxJS@`nhA+RN9M$zGScfysVDAdsiLaQM|D1D3PoB6?OkY^uehZOxlfLj_7=B$^As-qk5SB-dNeM7>2Jj*Y@X z_D2cAI=fK}zVL_m))(U_E0&?7Y3CwXQ;h0TzeGP;itYMc@tl>{1SeXuhf}JXhYioR z)1O3WECpaS)p%-qwU6fsZZLi+yE5!v+5j{fd>UusRWZqq`wW!u0f&F(Yv^PK126X8 zCovW?<&pxjS|2?uqNv)R)+5#mdM^1Yd{S&bsu?rPK}BN}RiPt4*wLEx+81Zy(tdow zPBfwSNi1k348(pdA2aY;R*oACzl5OLwUkSUEGF>}DsS8^a2>I>fF`hR+VF=Qhw>}3 zXW#pO%ItV1sYD2Sxp{@XPwU97pnr0@e)#76epcqzh3(M0fP++#DaUjhX@UR_;KMf8 zHjI{b`20dKL@JL=LH0?2C2n8&9M#-Op?i_!{G$rdK7cYIP*kh`;+ELl*C380(e35} zAgj?u@H*y|L}&HFwa7c1>4Swz#&7+nFdHM3ttqz4#VE>T-}$j>^AQHk%7gkl#5AB@=VB7KuBOf`UxI{_QX8>raFP?Pr{4Z=(~fs$Pc{@_!sky3=ER@;JMS@*_$q0N=aN zr{5)xa3wg=U^$@Y+zEn;9DRe;Yy@E1gStw6&n1W_Wz(@T>?qv3!BR844BNQPox(!Z z2La`GE!-z8iEe-J82pePh_z4As z$V@70XdD)@Qt-y1_1tlOMD0H*u|*>Y9Z6FDg)wve55}yH#-LYiJI0y0@0aBo20!rM z)U)*i_S2n&Qj2n8vVS3`u>OUdn(T$-uJn}<{>TlMhcpd$6 zmD!ENXiBI?Yn_MA&hFAg2Z zLX-C=HZc=?6(7%R(MkJ0s(qF%hea<^@%2i#vj5(LVJ=wu(*y$c4X;RH@qc%9Q{CWDg(j!>%7gD= zxYC^(-Jvrj3u4H_JwLtmMx`F!Ero8!AvuwfD4NmsiK(C5j%qII5g{N)cKF8J^e-io-#!ySb-2}?X)Afsjfl&2pb6@X2=xSof{SynJ67j6vF1fO{ zV$Bp^|EPzZIx27flw%2F9KM@ugsIT&xVv#a?-+VrvbIrnO~OJ*6-B>6oTWciA?&@d zW7&YAME}KQ^tcP1ZnS8jDglvTp*P2Yr_VbqEAO(91b%@14pAaZ#SYy2Bdd4W%d zSu6&r|Hi0^u}+w%W$1lCkV6%Vn2i=n=GutLA}ZGnE)&eXic_@aIaEW&hz%fFe7|6- zrLzB{887=xwIa;ZF8*Zi>HZK{t9i2Db*01YB1qlowJN{U;{^5}yk8MByRr1HrV@Sh zuYMI*lh6S?t}I@<{#r}>;DXY{>^h@?1xeYd)_-E*%=XHmvp4GtLHxe@p|>fE4*1cn zb>vMir69J?1xH#Da~(=zLkn&_$IbM)R4h%uh`D&k6lW|yZc!;uI8R_SGqLS1fE`5A z>%gi0Bh0IaUqjM(K``zD-gq1(GA=R-4Mm>8O9QXntgd^}SkYUG|00#IP>-)w4OYCM za`sIJzia6Fow(-`skJ0z?gQlmmrW{T>{1A0^Q!aDBjOLRim^tlg z0yL8lq3kWq{86liwa;CdA{o-I=^D!Zo9Ka45QK5j=>_&CM=c+kbdvnzL?4ftPf-g! zWWEchC#p#8n=UzZTPuSTY!W`7Ii1u@8*jBlV`s{1!dRv8i&OVp+z-v|D!U^zvp!OhoBb zHJ&H@XZE#si1Y&EdA~-7XTt=hC=YY;@e^4xMa(DOahbXVg{rJ2GFQFzHw&cE7*=RI ztfxfbl9=0+A3N{dCNZxaqtLv(Yi}EKBHW22^bdPHVdwuk_GTUpa^3F8TBG_SYfq04yZsu5@wf zKom~-)a0WtqT_S*MgBjR`nZ$f`NK;S_nhQdX-d6W{_@p&`}%%yxMM2Qjc>wGU7xSj zL6j~ZKRl^fxN^7$Ko0{t#k$(V)XTq#Gy zKps6`#uGn@VyIBaCrU75wk7p5gyV9A*qV$&3HvbDC^wT}47b11d$EOd)wSQ&W@q>F zumq~=b4^ccsvFnm-hBFXH2%2ngfi+GE^iobr}OFkKV=SjRm#yc@kPu?u;F}jK}T{s zkK_@ME|nAj!JUOkd`aaBR|PBkY{JdrAo#tqWf~Zg-*!Zr53UE7mYix6`qCJ)Twy{mDhti%M*^T+}3a2Z>@2;35|YnBHbPPJOE z-w(n-A*Rq0=x@hNzxBs#6FQKW^0wx0BAj`^;Jw)V)`rD^!!g!+aedP-+cuy+YQrL_ zd<=lHWzy~G8H=z9P=QAcl9vGIPN8xa8me63LLjb^7UY7I!!F&C!<~E!LpI`q0 zp%k*`c4lM?bYNV(_PZpw8+Yjg&#yDCnI4(~tq#q_#=km9-9K(`^bIk~a2@9d;C+4B zlK{TaPiGNy$-im#{*HXWXwaZ9ZCP8%D2A@-I!+Boi9cD(Qbp5{$f)_aat!>AOR!L5 zTvoyF7ph7knWh(*DBA#KR%9-|=F6HO(;HFJ1$f;T6OUA&ic5Ppjeac2xh72~v(Szn z`Ks?s?hh{#~#jqO8XL?O>M5T{`g8g)FW7eP>Q>+hs40+|Q7koSh z%0$E2P`3*+hbfS+KP=Puz8b${r`n6KP|1R2`^pwDCLblAwVaFaywBNj(K>x$Q07Hc z23;9@^K_UC-uv><}O8J`GjBT91M@g9{;At z7j>Pgifw(NmHtUXU^it;M)YYj=>YpdXj{t|rZi~T?-n0o8CNq)9(z#>l7oQ1xA~<9V#XPwonp2Bn zp7E5_Zqx_}w6~16%K0SzD9`Kro(BQUkc+pnU+E%`i&WwiY9rCvsVDP`Mn#-pz8D0G zy1x40a1jKLS^VT9%8Mwx;ad*rdgOpX={4vS+Xos5Ihob3-a~_ul+U;M^9HyxrbP>~8eP5U*7ncZt!R}B)HKJ=X zSrO0LFzCMYQjem^w}uk;hhUg<6utM|p(jD+JjkRRtfP@#N&32~@6AScMcN~^1jTEQ z*n@kyWAt*cFowy3$IuoNgLm?RMEN36jR`!9k*sVPiYpwSnH4K;r|8yubAXwYwX1qE z>>ClZ9?ic&>M;P9H}8-BPVLlIkjrM|e}(Zv?Ex%?m`glt!at}vk$*|i)S(j)L<~Tr z&2Mt-eG+Rpto|ao=VJ`OARb3?BfyUJS>WPgryMxIL9Vmm z36nFJ^yYgxznNGng3K=3r_%Dgig{U0vj@F&s$d%#MJ~eRd{4@sGa)J`qzXOld?31% zojo9OTjX~}?=r(6RP(Ip#SOsQ0FyTu5x5xx3&oI4bDv zQ1uqdR=*K@Sn?W+BG`Wbw);HbnpS9M$3Bes^9ND2h7lGv)PLh2qfl`%F(UvPwo%Iw z1v~!yA$NWVem>XeQp`?1_v=7bFne}w(q7JY6KB5G#cUC@b#%#oYP4&=L!SPpAa(bVMLUdfdou~=J$bB@u=cHsO7i|ez zMn+MBk7w>wL`L3Nq;;EJ9*I;~kX`ycWEVOry(Zf%xN`3cApQ7y6z4U@6&!}-&(q<; zV3Y^A5^%dkF6>n>hmdjNZ)N}vt@`q(kjHP;-3Ik8esNXgpp0@Uj zgH)GpjZU${Wpo)9MWgj%tkR!s@{YQ2FH!S+Qvp5vYhfjM_^+sMOc0Y#_d)uvH*Vp? zb>V`B((Y7|L+*Se+I;DxQA2|6l-5eNw)tayP3a<3 ziz&|)v)X|J+u;pNTHhNeo+^RAVzSE#Ex;gK=&IwdPETV_ zI&Tk0As;YG(alzqfjEfTp2T%3aT>yMi)4b#o#395E)8suvi1yr0zBva&E(cAaC}DQ zzKEZN9$W&z>|Mwq!#4qAD(}`%!;{wYU^fgna{0BEDo5dBA2-h5N%^-=DzSO^qCA?~ z@uO`*gJhRybSU(Y;4?C2hEqjBE%N=he5ke`a1lGoaz&#y0asLF@yzbZI^2sgA)~r~ zNpK7XJaJ7%g*aCw44Q$M#X`;f7?@7|ShhHIt#4!!-p+VO>2mHSy5){q=MZ9pMczf# zQuD;9=7kqjL{P&{1(Y2?zK_0QvE*XP{9dt6X>ZO&dMx9(fwfw??MAv4zR$9UakhCo z&Re$dklwJJJ0a~Y@+;6Td6R)zapaFZ!IUh*fY)lyL@d>%__Qe`dL4HZpXFxAfQN|Z-XuR7s963&_BjL5h^oLRQ(_Rn$=Ao z;HRa0wECvmW`g8I=vfG^)WgRyBjoxu+}VTpCe*Op^~Gy~f6a$^Wj3?W%6q*fAs=7E z6julva}*+yHOW}FX9LtZG-+YRlC8;tV^>3L5Dop+n(oWzZUK-VbTpUsPM; zxa(g8eVQ3~m@Efw+VeNEPIIREB+TsC_yOqQtndcue&hf_4@reU03qD}^&FEvS&hO9X zlzvm(7a^i+$t$^jst-L47WT+PY)-L%%14NT90`6A^&|#EBHgw7!fK`Hbh}OaL14#M zYDy%6;grZ^^HwHN?{?3-Q3=kM--mBrz-zbmlkaCgaPWcs>^Kvmd&K(RH$QU7OvQve zX*r)V_9i;|&t8P^Q@(l%=SRXze_ne+@R>@KftQK|F7!E-!M&s61-EZRd<#fBdrGcW z_q&!bL<~(-;RoUS$@!Kul{O0LRB8(d(}Mx*B7z1;QE|p-bvu(v8P`&R>U!GRkLIe0eB714bf4q`n z1+b?sdq3`0EW~fMm4OxPL)}rN&t#$beOS~Ck{$d&RgS!(_4&A_D1{HZcxv*Dm#;o) z^Y&8l>I^XErQJ~hiLWAqh>xg#Uvf{yGZ*xR47x#u!C3TPGcti{hj;d3Rd>x!C#biz zqBc;UW8mO;iyuTy6hRkHV(z>lphbIcnNB9ZOG6m^;`*^U>Nn9=TMq;x(f#@?m{q9+ z$S@&NJhuAhCrk4p{oU+uEXzN)ivp4Hwbv=9xw(C|Hr6}GjMEIdqyn@jda+}2BI&#iF{ZU@;<6`npgp9b9uoCT9D%9uUsva$h-=(3{`C{GpY2@r@=J`s5osd9Juh z{m2IYzK8j7Y_6)sauW_?{Vf{$Y}iW94oD|P@^v(prGp1TueG3{Y})YydXAx2>qE1V zS@aVujQo13oo!cLa@Zd(4)ds0wY~bA1kFoGdJ@{x!3;FF!!x%2xMc!i@|2O1-u&43 z3oYfU7Y>}cLcK-RB1z&&r;>EWNEC%H*Y+1%J6!e|)*lx1xF8E$5meQBQw2;0uskj9 zfdk+%B_oo4#d(mhT|B|(fd&^2eoG3MeYsG(z)X%B{==02x!-!T?LxWWy#aRUVY>Qf z9w(cHwf4K}<@XPHA>-w&R~_&JAgwa|hXd zze8=7k@%8;W_B4eufu0f<{dJ&#bu(auX)};sNrP%IOi*9(M$2Khgy>NIX}gCiK{8; zlH!>9Fi`OIlRAHe=sQhiWO<=sk{>EeJ5XE7-`U|ei?(9DxG_B%H6_gYJ4~Wg`_apxD3BWXwxNsv(`y^jy>oDyY*SQKCbYc%mWPdb9$Edn*!*`@ zv13JWaLNZHBX}+*I>Q!r%7F%}4t7si;PL{`(opl~(>GgsTT1i7iR68|WQIneGF=>U zyR&86rw)qn`hHreyymN4022o|Yp`iYKX$9Sg$8v2trN-n4mnP~K%K64WHAKq3Ym1u zo4P!IS|y?0IGCm7_y2)Ay3=YF140%iyQaAD2MlH|U#yjYDCg`in*+t?SYo{W&wASJ zy{=4;1(gn?+ewUr4l2;hSUQk&qJ(wck5u!GA9(_tab>Wy?vH9NV_5(JN1_Ej^$bcN z3!YBMpYaHRPhA8ZRXiZ4EZ&&>4WkFhYuk$z=%2086xw=G1jH4n;v&Ae$wu;?>;^&x zIGVNp9ZLEu_HFyDD;c>fevVf^65~)OXndAS(&I2z!mrJo0K60;OaeL*;dbrbwZgrG zimi5+$C>*&pU&ox`H^M0(k`lLmm!-^*rU-tSM^dglKy z%t$W!9hBW*T?M_~>Lbq|yXo6b9?%|yqs>`#w7pNAcD67=1pgt9w2KerasLw&ol#A?s#%lAj4UJTxAUyw^tXyK4$vtu%p{JJWBK;c=FB0_WqL! z=kDS>y@S9+dA8F-FS^l!j_Z`YmLB2BzFpN4Xeur4_t0 z@t5TCW>jSLcSFM8!@uCE*`I-xXu?FFod+6-ZhgV;o5;sjNK~y~6Iems;;af6T|egz zyJ26JY|lfU4~^(A2{G>3s8r0T6=>u=Vi`Bs0a@ z(GjQTBBd;(unD*dIf79Hl)x{KHP?@@>;sOxDEZ~vo#&dm?t9|38c6WoGsR7-gcH*x zgbMO9)Gi8iq@YSpk7KS$zht?5yiR8ltzAiH6{1Pv-DRHM^gcs%(WHE~jvoKY`nxCh zvPCZ*VLF+zQvS~5FhT2>-B-~<6Kk2$h-{ctfvE$=)JUZI~ho*q?rZj9|9r z)y3Kg^(KlS-Z8$c4Cr&?@L`Ecm~nF1Q7yM`8~_F>Ut}0HNK&3wF7!ZwVV~oi#aEE}k#AvXm{>KCM7tJqjSksV{1rcN?2cIxZDrqA! zXNh2SToJGsb`r&*;1>fPD%D9AkSz_ze7=7uCQkX>VVluZq?&;{6c5oPc#1dY?6gSA-8t5E!EE08|D#tH%UmDPJ<=G_0DVwvVxDA@(ZSgP1fPG9Q8Yf_L6~RL z&^!=9kx9I3UXUik+>IUeTFQZ?BuLIub~R&p|^$2XgVJZ=)BDC!fIadyAF+y1pGr`!)A9 zMrI20cUTsYVILK~H|)28f1jSaFGBs&v^r&OKf_vR=6yI2YKY|l2~8L1YD-w3AKO6p z*=qcv9t1#ZkZsUHy)EJ){Inf!kQF}n4{o0{lnUB9H?yK|Q|s8*eG;y2uNl1pzZ~Rg zV5byap)Gt(D6j!Hf(_G7C!1-&`;K)@F^TUwAV8OtM_ggvP}I-fNK=qA8iVNn#ob#5 z#TB((n^>T64-gu63l=n3aMvV2a0?Jzf(9CIf?EOs5*$JZ4nc#v2M_MC(rx6 zQ{Plg)znPY%+$=^KEkQm_u1^T@3pSgr7~0%rGNZDAE*1ejrQpr(tg)BI>@=hS_Z`H zpwFbgBS$j2yS`)Z{NsnTKvk5`&re%Vi+irUzTxZ{h5UMu=NfsOh*6H zu_+~lLLM>U6JF$uJ!RBM@-R7A_Jhs1vD=6c4QMrtu`)dW! zSD&w$iXv?ks7KmeslxF&LAY{BlYJCg>XJdE2{>mgh}e4*#+(()PLAyR)%7M2+@YtM z(-r#F@qV9n6n!b+ibhj|D+W6IrCXWuf!GDl`pkZ9%=bNcfX`F`H+(}l5x3r1WI zd%W$Y3}fn1E;0858amFfir7a80TJ6}0wIKKPAEya6|9b?&>l;w-qRy2{#A-T!YhoW z(~)!Y!#3RQv0aOfT}#(!|1r{ld*7hbYAIkV$%x(GnE)h(lN#`sc#`>dO)!sNrb-50 zehRN~Gl~Aoa)#Sux3h=p7K2~1I>Mj78ra}i$NV_9SZsHNtQ*H6lcjAGAXaHNGNU3e@~V38QNwVCIo$*LwJ+cMt%2yh(Yr~U?jM=ZXB0G@-bf*sw*nNL27B6dg_&-W9w{O`~$9&WT?9sF(G zq%S6*6YGDGkav`mpucH`c$#pfOi@1{Wbj#CY;`DIaZbTUkoSwbU9QbO4=QNFca#BJ zQ}HwTzkRB#bkY>%oum@JoF7XzV`URqK3Hj8|Wd1;S_^O;~9Hx>aq#PN8*QCv(@N&C*g(mc`qwo{&{qn53b ztjp%s_~C1-YCj>1QMkr?jiZVXYp*Q5;1$S;^}DS7B@fUp{e~ONOjFE#1O!%}zP6fA zOnfuU&o|k=EfLo!?}16gcb%p1aoKKtUXO_3#x~=P8`1vPW(#*t4{g@-mOb4tC6)=2 zHgY1i?qm13@A2D(NTzRx(J-;9VV+^Q+ZtL4za#$~UH@8iJ`(dRqZAT)9geZ8IPm5* zCeb$0`nGOO^iwp(?%qJzNFGt+fV0iU3v-1hegi{{K6|8-x`QH{7&5Ptx|m{hc{wn- zOD#VHFMk+0k)3L`IILo)fbE|NA9YQ;M2+@aimffL$eSkzZ}L`Fe0l$9BGf3w zWXQQja+s{HXv+vqq0gzj{TF1Ra9$f&c-^+c;IcA;8o4kzttl?Br}v9Iuh2a=jgHFm z%LZu#^Ol0EU#Tbn0(i{~nY*H-s^ik3b>cidggsgKfy*Ajy83A32!Lz?8dfZ)%1RF6udOo2rGsC~gV*iIn zVWRdga2SlcO24^h%yx8!x3T;BKWZc1Wz?4yySEZ4-Wu4_!NOJN|0&xvgNhe>k2!fG z`-{Qgd2bj#MTH5LG_~?`kDJyjAYwjdeOgidTBV`1;H57wfv^W!0_N4G1Q#Ovi8?%| z`5Cd4yLekfFKuVVuQU~CDl9QO2=}9Mbs_4>emh$5Eb7To%rLB77_8v2LpB#C8q@SR z@zQ}Rw(HGriJzrdfr8>yAkV77v9qMB+lC~D813=yWN2`6<#hX#ED^o2KVJ4l5Dx|_ zS!>@}C3kT>l<=;ACtfH|l=JZp%Y1y=_OfRLixXIHr=p7Uxr!;N54MUSu29PJAS52n zGuufdxsF#k&(Ho!OVOsb%+Z?1*lw%n@R-`f(*L z&H|Z1QP*9~M0m9FgMYP!p#d%y)Y1!ujNf6eb)dg%^_OtvcRl-U0eN+7LBz)T)BJ%Q z6k6a-N`$>7?YrK(RoV790bi!)K6HqMN#|>QX%^4k1mnM4h4UaCHPir!C|hT^-GLdH z#+kwfhe+Oe5v)Ft^QPtV--lhW8NknWL+uiPIx{%enNFkbO+ZOgXZK9O@n_NFO z$R4Vp!=otp5y*trCrkRC46Obzn1|(IxMVkLq-K+(MUEiH8F?{t>YAI_#l&)d#U}Yd zH@B(5J;~z(&M`Jb2Pjd(1iBNdZg7Itdi)!8DB1aQSk)}awQOW*_0P-uGmUk!1WWm` zC8@{5;W8s$Vx3iF0W>hGBdH$Yj34p{{8qK^r<^|Vc5N)XV`?btA(gg6Dib^NMoX5| z;eB0s)JfHi2e~MDesH`T^U3B(TT%h323a$fT91;gIa(q^&q>X|Tt`Kf4{Cj4{o7Gj zHIpL%GFJ53LsDpw;^8mKa!Fujzw?qntR>jmwvlI1=%H$t2tM9~Y^q?#^Rfh=umKt_ z;Hmw6D`-Cf?79jIN7g;vNn}Y?R+$hM_P05;Bw2w8r1zO4R*ehGV{+dS%#V zYh0sfzd|HLnukzH`rGRHm042Y%LwnE&dkM_t?$#Yw`M5I+6Cm-_!1m;Cr{&iVFjEf zSIB!*We#MIv-05~epmP65cgCJ$hFBnheM~5&*(R+nF^gEY9=53UG{SZtwNsUX~HbT zq52tT)&pK*`?_ILwf4#waZm1E5yWVPPerqgpe2N8mlhf|<0KOhFnTq#>!)hu(WpDy zCa^IxDEynQX9=dc{i;7xEToE+sS=`{Rl2|nnDLJTE5k3No>9$_{w8Q?6}kg$yP;ao zjv1sYKd+oXZ93b|X7$$oAgley%l0AdACB~-I2Od)Ht6)HGWw(Ox4w3HkW9Dl{{9t} z=+u8^+KHAOA6?(kEjYY??F|;B{KO)jH1%dJno9XWlq|6nGH%7qEA&fXqp!-u32WU! z=DqH+dqmbB#vCm~4^$eQa7LYmRJUUd-)`22CweK8%6}eyu}h)(nT`guF4uX#~pvY?FpZnVy!pAD0N*%OQqi>le=2K|YdW>`Qptt@P=m zdcCC!imj09P$sE^oS^X*uJ4VGt1>MpR{5LFQ#OcR+%f#~r}(TzG+Fq!+mM1#t{>xJ zFV0Cs;Zq=Sa@y~~{IbqR5G<)qUB%S>S{ys7oy@zkecxVC5~Ig^-Xb3J zx_3eMZPPUg*e%UI^7P`zpJ$pci29?V@#7NB1LR=Kc@Yj<4Lk++f$O@=1nxiLp{}r^ z`pf(FZSj(C^2{oUq|Pq<#Ux%XoL3gyKM`7y$v&T@XZWi-{tobo8e{@AAZ4HTuAE@M zPn1MW#mznkRJHh|{2J(dc9a0uP~ny_B@}&&2(F=C-X4saTa`WPwgUyJfw~CZqsnq* zjfHi~)_UJ)I0!pVeFXPiZC*T>%_H(x+F=fsbb!rgLHsUUv`Yz0+hy3AKp1NF<2uJ7 zVIRI(7Dzw7Vt>cpY1m=cg#}h`%LIyZj4rTx`H>mnQPM2ta_1a=^0s|g-mIICYK!9A z?{do1m}Ww)7-`#;$8NLD2RFOe1j?wh_b=!nG#IMT6v0_t?v6a$n)7b*&nKH88X4x5 zUz3x!QrbVxqyJ3oizuOn{#3?zmxI;CKjM2!32a0q3(4RDsSAaps&XA50(iTj?=9hu zxa)eRVANyf9l7O4(3FJno!z}N_q7C;WOwhz9U>1e1~8pCjbsDae%smFj|9umY0}9H z4Qh#2x!0FQC=alGR~67+ndDxgjlQ0IEjUmc!u5k-sx$AUJk>j^=&(=CghXtI?V1T> zbD9@7mQ!?^YIa7w$uA0bjV+=bh%>Uufh3ksb(Q_Q=#SVh{uL2|g z@IKKtpF1awA3f{!X;6birmwXY*R?Dpl*Lu5gp9>QRR>7gL1x|1rM1<^HhtwG2{*mO zO=8LQzIgmI`0F)}PGZN=XM8krf`meST@E5o+b0R>iFKFh+5+b|n15wKYaq7no}^xN z)^vc&(KR-B;#r~YtSMmoh96)D;R~v>x?%n#*K+9um1uVNUo5{b=KNhJoJ9hkt7CFg zd|SSus|L%4Z4H72F}pzV+YC81z};F}J#)_m-9MeK8hUf~XKh;k+o@Jz5~m2u{J}K! zhUp?Uj6pbh@4D}ok=5a6-d-QLi0Gi65c>eyEX)bsKDJ;1Kj(U;ef3>--j&W80fAD- z-&MA|?6=(hIR7P!@H&9>#%6~>kzyfQ=F}^a*2H1QkRP@yhlG@sYH-wcLEsFkf(vkN z+3E`7NM^fQM! zH}$hNMd`u|B6b;`G4dbDx~0JPN|||qASl5g@7yyt4xd+cjR@*uOJ;%wK_yC<2`?j( zk07(ag{@Eqzg5W=gqclZ7qF9@qhlshM}^nip67f6*0pN0G|C#;R^$h$q3rVO51Z>mT)r z*Y1x$@n9K*aUl2<*vP>BJMhzd9I18=N__WW!Ug!F3_)!GZ`C7*p9jw~l#va4e zyJqbhKi5>w`)^9xx>*X-KL~{6E}I#kzktNVxa*^c;3~x@a$Gk<2dl5t%Nbm{7c5*L z?^7#A3u$XyA+D9psF_t1^69~(!BE1a+6Ovm2$ee#+iHoACfy0AGAAEe83Xj36f?<* zlcq5$xF^Bm;57M`sQmSI zyO#_@$H$PH9|tHNm75rd+WAzB26Pgv2%JtR&OhBEoXx^%+q#B{-~{VZ*t`Ic9Gp#X zyC>W??U&Rlvv;9x2Et-=ollGJ4i9uw{$R%bAss-&xMpFFwQoA4(e`JOmX?L>`gZH^xm6_40WDM65tL2ce zQZN%zSKgK_`j7h}kpVrdGyd%=pC@P2&8>a&L1IcPLd~Q{nQ@x2SeWt8s&wE${P#B~ z&XUxQ&Hd~4AD?tGm;oY>5_TlR%akG&3aRBj-$=PZq^hx{t3VBryQZpmfM zTU@cJ&~DOVIZrR@sAQ#yFpD34rkIdaX|IYRF398~M1e-rFk6Y~w{#?>#ywhocev1t zm5=y40_Ri$L&l+A!uK5#H$tMZ=$O7_MaXp~SLW{e2+>alLz}(oqlYd!^l8CEmIy=M zNU1#o|71ug5&2|+h5$2^pkyrA0w!QcVYhMe{a4>PtLPu5?mYn?Go`jcLiZ4@cvh1x zZ}HHr9fQ>rkc(Pb@O1S<_+fieBwAM1RP`S zyoiE1-pAzc1+sTs^FdCH?Bv_UvWoB=@peXp4%MadhuCE*)xjGtGf1;v~B9k@fF}q3dF!a{?D_V6frSup*#@K?EL^Slw zb+xm17xaE%F3_^HXJW*2Rq6og;No`d^uNT7an9)A+#8!tIDR;u{^U0HEpI-FAz?zh@GRlMOm|K$D<2=7E(1@+ z0rW)j6K)6I-!#v;UTJ!k2<}lJZ1;rmr#!|2G#XT2QiT1fFVN$%tE9AT4G>V|((_ zqF3=)KDl$wgcC!0dz|m=G*D-0lgEL`>9#zx%KpA(kKc~6#flg$KIbBns}NF#Bfk)L z!heRtk06Gf67wi~%s6Q__n)9dOmx(x5sT`Br*@O#8-r)(ypn3cTSc@A7FN9iqA$=H z=+yDWWiUIeE9x=3N5TX5oq4P-FNr9@*3SBh2;h?m^x)|n+zsP- ze#K8w$buWr#7+OFSn*M@`ea^@Yr*b#%qt>pe308m;*;sTmug)$_f1}=UNp*zq?I)J z{i{zCaNxH%{Xr2##8(WEd$xTGC|vOz-+jOV;>F4IuJfR@KF88;J`sm0bNjQXbB)&- z8Y9JN$}(z3!%-|QZUYDVfFW{oxP#Pw)@uU@lbtv?U z(Q+i|^XO#?0srA@2vB~9m7H48i~zG$FYng8)y2(BrJo#Qhg%iD``%T1`nDUrQiyD+ zO1hvOrzhXuv&d13qbf_dUUzK+d+fYb5WS!&5?}jw9<_#BpSC)sK{3l#NdNLHe5e1p zF6Ll?Z#p!m7j?g;aTjZ<0HqfA&i>Gj>VK-O#@W>=jJNqKQ3wBBJWB?FL8wv1--I*& zU4M7)-$8&h4N@13CoApqrW0?ln14sy*H3UhrGE%}m#gJ3`Hj*lf()E^^4ICF{BLsT zB;R`V7Uk$$gAg76_?PH{b|cM_34#iK!;3nrE160j+HBT&UI2^DsSq!^G=(Wu!Mof- zx$>AHE9^StCs+PgKa&e_M}1uCQVi=K_&AOPl@myrFkojrH0}~_aM7<{a`>`Sdc>3h z5TC6FT5+#3XDg^ByV1XD+c>HGXlmZ7xqOY<$otJb`5tr5Y0ECda8EXL^RenY+|?N` z;x2*3eT#8}e^-;px9MfalV=Ia-=y%NWcP1_Pj(|#0*(qdQmKL?oT=4%Tq_7LGx6~5 zWy3zC1yU-5z9b5XU({(N&`Fd+DK9e`^Qm`FszAf*8A4ZH=`YXzdJu^tBUoMz{0iYJ z8VQKnmBy&n&)Oz=#C*j!60TY$0QC-#p6kJ7Yud@9b_?SZWsrCZqfmK9`inl$oOts- z){jKtq$5Nk>GFes!dhCfvV20}r8?go18nuHsYXn>wTZY&%Jr#*-0!4cW>y}qTlGo( zVNqvP{EJU;=)%z-q(mvL5%uh;u+Lq59ibo?`Bq0G(V5<_uizv(Q=ranEK;NvO+g9VP-oINe&iQ+jT%lq)uQRg z6#HKf%fUm65nF=LPOwMf9+;IJ<21EzoH7gUvPL(+SwTeg{g6C~J-kPPYn z(TVXQdukvt>#!}N|ETBXAW2b5pYCD0ixa%R*pjf(*<)6_mCxUK{@_1{yLQC;2;RfX z78w@gmr>S+cP#*NrIbo9;R0Tk2qYaTDYVb@vw_tCbLGmgmj5J4W6Z~D6IOfdmeyh> z@wBneDHGUdGXjEw=t0LDdU3k?l^FBCgXZC7fx-6nOJBRI=}ROu+soND>}epQMCeEL z!A>~!h(GKTFTzAxBfWc;CBTOs-?R!e4=f?E$3V;1)jAMO&|4&U0i2HU59v3?PmO@9 zlxN0vK!g7VFLHbF%0?3*xDQaV<5JlE@f!=FcF`v8m3yxz^0o$a_M?|PC|V+|8~0Hx zPp<4t%b?mSsL1Vnh5qC`8f{~x*6Vr4RAJx81f>d&zi<4rF;yLAm=|9TJdSKw168&C zK3?rP`92Mi2EROD2)R^3l<==TDDRmOsx+X3AA|=b;z>m$iA_x$sFgCB9G!NbSZOiQ z4e9e=u_WR-95w3`m;BI2J#{wkxo~^#BM+l;C&0<-Lu;iyXpbn(OB1UX)MO&`9C6_h zS-$@n|8fXD>KI<9@sv)z7IgtYRHHT~kdTk0|J>T7`YUe<1@cX&`(VVmOVjN<&O%;O zQ`E*-72ZIcS~NNT<_G01}+SxZ`G5yn%rulqSb1L3tkpG3TLt})q8 zv|SV|IXRig!0BhfF4+6(cJplN2devUm9Odd(;7qhx`k%*#BxPjmahRP0gn+xF#bn~ zg*cp}>LyO7FS2^cq5m1w&q}bv+nf`u6SPGukbt2JYQkp5ySafujB4`)pgoF@S{(!J z$oFuY!&3NaV*h0cuy?}X>4y^Qx}OioJPVIPor`BY2La0glu}N+j!xnM!cDZlM^gBb z`XX(dSR(D)mP*uCBz1~&1ewmMakj!=9>3r}Hq02mYm{2Oe5P`SBM4P^O@0v6Z%k4dP=# zK({0*DK2^Mg{qVc_~VwKfByNCa{KP#eSa9OaKADfwILk%$O35Fs`4S}W;+CjcM4RB zeDflc758Yj259BOvxfE#3GJ|KR?)mVt2?8?mw(vomKf+2kAuDH?f`}(LE9IWehSKW zL!2+b&`y;F1+m;V<*?wj&P~AyPAX+kUb~V+?(MY|Ym(>sfS?6~a)Oj>sQ;OM6gL#V zR8!ln!!-xAWyd%cezD2?+cTpaT)66SM}1}O`zl*uPzr2po3W${oesP3WhKygI$@r4k{t(~2{yYbFFLy}Oe%wtVApsOW`KRZ;T2`7sA;#A z5iPfM_((!I*kN7^8}0GN1w4bvYAAu&k6Jw+npIcRiu#+T-_AB)Ktt3 zZ;x@;ZfNOG9;abu#u4(=RAjV2N$~{WgdVo$us}wZY8v{r+Yy+bju1>4}ye!U1cye zQA4By?cSfHLr?Qvd!JS+dZo{$Wo!D6UiB%~N)S;KZ65(y*sgoq@Lx^>>!o;sf{VKh zp6`vy$}+HwhsYu{fW3Sa?QaC%hah#j=2IS2N&R(IsrtEr=^}K^z`P`w5O@0KuWSu+ zE(8;5%i_V=%kXs8WJpHAI|kmXkjNyg%!vaidR*f@UAqLS{HQGpiCG{WS6);G2b~gW z816;jgaJJOQFp;tx4wokQ7o0T*oD&uYmO4Se=$a?AiykE@@}DmFGPIf(NXu|>(qH+ z=f0EdmmOT+CUyMJc*P&3-qTWZQOM!SnQjzItZjif%?2O)To)o$wm_j|-(*J?Nx$dH zH*N}mB^hz5`d-bffjIq&$)G}?Y?#$w0BK1?Mvv#PeflF6aG&otk`5WWC7g&RL@+Nd zh5UUVP#*8?y6##%V86?7(UuDy!GYH3zogvs$!1V@;pU;HC9IYGs&W7jX|Kk_BUAa) z1`{sD%SBD9c`7OD)^#!5ff>>{4f(@$b^Dt6NCP3M{Afb$=hvdaIqR(y-y@vM)z3&s zyT=H{tBTzQS+u7=ty=Mk*h0{kd@;aWOg+hOf1`a=2+)-kIP;_R;*4LcVB`@{iWPva zqNei*oQ=1LI8BoAm}x?i3K69(CITly#Qs924v^&wpZ-rZSnK+SmB$th?ZelfYsQm? z_0bJ)WxcaNW@VfTh=%*Ot&)Pu+~(zg{17bHyIKa~ zo{7XRM2W&6uc^Wp03cZ7EXGC0l18rDz@2qr%d5srO4bRA=GXFHef*S-m5i{JS8!eX zj+r|2jVy1{n}T2M@45f7ifB&e6a4oP^z+_n8;dF=9EYJ-+G;Msrl)erH#7WCBgfD9 zhk?b=p55av|HG@G@BygK=C;+lZD`xmCZCU!skC3$I=nAaSCf9xRs@k}KVuZY01S~$ zQDg=j>5-$aeV|;iqgc$9Qq;Y~qAd(>u2Dq2jVcqhV6H{n!+%1#IX;i4%)wZQCCKG$y`5rO< z+(^^a{WjxTVf#oJS$+iljn*1c|4FmKBZ;5+*mDS`Q%=m{eL#?=_>RVi_ld!Uy0>5( zKUgOWFys|f;a?AHs|E(CR1g2;daL++{^?J1$h(4s)Oo^BHw?x@^>tS9jMlV?N6*F@ zXW6Y&^Vs^vxmu?oiv~X08=!0XJGP(xcKhS*HU>13N>h#PyiT(2X|D0fyu5m$ zqtVWQ?@7F?PS)3jZE#LAhN~&g=9vBZ_S^~6>Jfwy-GQiVJsRSTG?$S9#|lpd5n#-(Z&n~MkSP7Yw~6V`JiYn zEwhioso6W8X3HB9{9&Zuue&iyMc%;+*bHG74X;0$ls|`Bksm4xU|6de)FYhWbI1Hw z6?Hb=-qpioSsyhMqEcoQ0hde;|$4A!1`R0W7-f2%$f%N^2uW z<|<5m>Da;kL^)`O9u&EuB5Adap8>SsU4DCmj8(-bZyyJiW;jS$CPo82TKiyCR z&1x83OD9)lnu%$<9>dO~VqoDp^QCLd3jjQj%$g6^XWdDehlHaCaqK)DC@~t-awP#e zwocP$_Pcx=8Q4D~3zl}*LN4y7x~5+N#t_!*PLC&P{Zd=BGZb3l`bpz*;A^Lb*Jqj= z*&0>11fvSxFP|6{M3aha(VJb6r+C~Q8Sjhv4uRFlzI`3Pu8jDdfr>+ssX)m-@HCq6 zo|y(Zz>I~WTA%fh*;JS8xl6MkT(Wrv<)A5=o~ubfU!}>=LpYN&wPmU;dnQNNzyO|T zUYoYzJVn#9qwDi0QOn%{wLO;xh{2YlwBk7bVF@iT$PXczMABU`y*_cntiDQ=y@x8U z{kg&LFonN6(ITSw8*g5zX0JFnQ4VT7$0!B<7T$dp=z1!TwJBh1@2E(J24#6aY)``U za8KNwhsEs6`TWpgrgEf_RcXkwB)!_B_yO<`XS=$xx~OSRurNEBV()UWM`{oO_&wghAumI=%_oS;mAhvc{KO(y)0h<1Nqf5>S;j6{1ZWPwmppG z$eNP!;e!#C4hSOO$jai92Pz3*P_|rmWl4`tO6Yu3@9iCYEB9mnbAte%L1qyLvR@T7 z=aVmVr-|sMtHL>|Il+93{*7Pl0ZQLcC%TP(C$0G@!fXdBKo-S+gKS7(j2~n0@&669 zou#j}{z62?^0vH32CFo7KP#ws2R@G4WBeshL4%J5wEJ8?T1Nf&D(s_bKZFm(EO;gPf(RH+NbpjsCHZ`Zz3cuoHCkQCCqSsv=eF)3Z#GnK424fu6;d+)1yf#1l#E$N;yX6j`*j7pS(J z-g-_~m8S1G_MSD!rJ_&FZGJ4p73!aFb*fqTy&7T#SA5SB39@7s6>+nON?ZFBe$)3o zlgGu$+||Kw%p&T{TT+!yd*}I9Kwb^5LlA^PUC>C-w<{|Tt$hUbREg2HOf67nh0$dR zU{BPuf=T1#*i1fhq+wSK)d!?n|Kj*P()scU@-56j4ZDRs(aTv3IgQNk|M|bx!LOhG z+?0lMfTrOuYDUP~=A+z#CE9IQ>Zl{V$*h!}c**olW<%MwqBY2 zpG9y7As?w-Llx9cUIAGEU;|p`AJ_2~krG4N zvSw+PxG9?f32{Ov)v3UDQcga2i>k(i7eXjYeB@CIWkA43$M|rbvm$_q!H1^oI);s1 z@|U*j5E<%apM3G8FGTXGd?U}2NOPx1>|nA7 z9dFsXTdIi2t3A)!Y{dnH;&+)nDqU}1EV{SioT9Q_He)V%1dC|mb^zW3+1>8AEWpHU zpBF0W^C^#1xaSiOTg&oiICa7#Dw6o|%Y+3i-6|*dls>rtCuD^V!=tXfT$9gvh$o4q zZJ0*`1Fp02pfuY~@bDMrcXM!A(zG=F?X=4nN_|lL19{F= zv9jU8q}SfFtJ{JWT^X!AcR~sgo(U(%<9>4ZH@k#Yu+**&`M$8W9avG=`=3jY?#s-% ze9hPY8Ex?Lq1#A3aslbE2|RVqeDn}DRaVSypg4&%~y^>mOOP`g?Qo6r~C%=+3Ij%DA{iGaGqix2^n-!@1Ez!-@41Lo4aDE zb@UWjf()_#v_vQww+I&JIN9KHk@^B466YBOu-wO+0-a zfGnnY;*ZrTdQu)c#!fknV01JQ7y{IuG!yr4Y$;_9IwslXHjmN%3$<6Y6n4p*Y^oHv zuyOUI7R~)>_a^}4v;8>R{^J(+!qnrExr|34{{>^Dxg{t5o7P$R0n5Q#)mguLqHSgN z>iT|RpmdRmrZThJLX=SUQe(p{2<5+pfpm=%=QjO-~2(N!Q0-Jy+JQ>}G?(QbuV?b8 z1d`PI#o=rW`Zg&Hnm!JBOlx3L%|$qs+BU0Ksw{X@C(&GhIWPUP)3d&jhbzpO4%Wm) zSXducP`v!QQ!-l1=Osk^^-R)%m~r*|4+(>;L>YT`e4?LW+Y6N7?~94jm;n}oAWXgX zQ@pZK*MS&D1nmVZHSK_SCk(5**8FWC5Bd3HUMkk{ewE3-Q$CC|>Ij<71P4}nfPDmV)s2FVxsgz{ewCsBp--3A80KwWS1xiPvrAnA# z>(s`^?^})4v+!GmZ;$wkd-rD~sGq0rdXyLa{(o>pxf<4_G*}J)eETK-yN?$wd)OTS zlBhR5+BIfwin*!l-4}U_zGfQ5Q~z0ah)?|g)#Cf#eN^V6dRkys8)C?~N9bANlB_O% z&dGIA==b^;_}FY4d%OTk5_|1$ z?38qDX);X7Gt;=2&Dv2y8BQYx^}(VfZzg5)EpvMMK5i3!op=ZDrmpFS{Joh&N=oux ziMBmhO%dZj_iu#aG|w>~6fA19#Qj@f7Uv`BKmVXcWc8MiZShHe@;-rucTEg zXq(MpKIcn{dovW;2d6pd!LF|rxH?CTPii}VD;dr-OGn3nYK`<`nR-OdCkaN zix5DQe7Ld_GwQpP494oucYnu!bpY-g-aEa1SieuhbLSjIerUDEJ6C>whrB6p=hQ=? z|I{m5QIqh#@-9I9Hw%CejESL=25SVWes1@AmpIf;ZtQG0cXO{o5KGqlw)4sWJU&Xm zl9GG&rNidUcgS4ds{u;ziy1>}_iE2*iB7l8X;bVK%b`4h2&kClRx{amRK+F-Bd)BZ zLkWj!B<478s@RGgVFgXr#gXpU%<$)|O8UKMpz~hhRsNGa95tMLeTdx;(@B`h+$*8@ zKOI|{6Ph@8M)L&Yt#Z?__o&g7<;r@*@B6BfQYCvAE=T{_o*JJ%QS6r4WB%GN_0JZt zZwT0rS703&qQVv~D8M>{&_O7Ur_IN1z)q{pX>2&vUdqK(5yh%D);4? z{nQtE_QW}W)nq#Xs7F!VP^woPOdc9?j@r*=Z0v831nE5wWA{DUiq>?B~JPjxPnJ~6o! z)s8ZOup?r-GDm!gJP93J#1l3-IqlVKs;|n|C`=;AsVrVUsTI~E*n@7WBKRFr!J2<4 zE$$!w&IrnXIb`TgTfOVWkZ^j;pNzEO*VAb+@+G|X#7f)rosY~~EM^Xh$s&1yT0r(r zj@QZfcB$^GpQsOq5nkw1jOP@5#Xqus&ItEXOcKr6nKo3bf^vB@qb52nn@az5HHuEX zkcQ$ELd1Oj*fmmSf%G6*S+eL)I$hhQPo1QDBd#))yb*xEg8!fk#1{H$ffwW>8> zQR~s3r5Y)qn}jpKj22j}FYnKTk&9`DFTtzq4_Yk~)s}e|H8|}{RdskQfYF~Af+V-? zLY;kkJRhYXQLMQQDoUy1qPoNyPD#)E&}$P>BN6IN+tPYMDnsfra{ewYjeZ>@?iEJj z;Al>xkN9>0rl++J;Is2X4$z|S>HuiHQJ(N!9m0JHDbca3H$!I+$zm8|IgD6uG7YiE zEe`*I8vEatUj2_7LH_GT$k+wQb70kosyCbm87df<>*cTB!@0>-SdE`nc-SuGDhz%= z0m3Oey4Hs%bT6#4VL;@KjGA7>fnpRsieu6z8Ye6)^9V8$24+&hAoPA?_f%RsZN~6#Evgm2dsza7JXGIB#pO#qz^#BzUj@G?6#~gKDo9Da=;hb z)pKi}=cs<03Uk{t;SS>9AJbF%lYzs3m1x>+qn(th^L{|W!&MvD*%=Wj_G7)w);iNF zujOibEiVZtD`-XQbaaF^KIc(-+Kvc0H{dmWq5(Igl^g8$3P5 zbrt3j@B{*muAp7uy=KuUU-2$9KCzNa8}OB$n+=nB&oURCV(`xWnyg4pZ=@@4i>F26 zEM)6@QqrbBfLpqN(znVE?|DjNSCgsJjEs}`vsm6mkaY<0OGrV6cA2Go)a(Gb;(tc^ z>;J(>zk_Gd0oI#ej*L{ zpSPmi31X6GJwHi7@?B6`gJg=(j!iwvRgvQXl3l%gR#5r%=C{9_gM5Z7AhFg(Jdb*k_RL2iI zw&G{9dYV2O%dNN`yA3CAT6dp1PPQA|uO%Hplwq&U!%0KOLi^46=Jr|MXy0+cypK@WLhOceDQUs`lv98oef8S)g=JHKQZdjv{a zYozEEeYu#%3iQ=RFtJ~8Gc|#B&l_V-Gkt8MFFEzdA|?gugK4_?sA$6$mp2F2ekqu) zLK?IkOk4|rF<(Y5Nx9Yo!p-p<*nQc`npuGekTK#{0fV>aNfUQ;S>)We&InUwA?WTf zzQp8>2ag1#Vl}1-$bZ}-NFem!MVA0rQYo6C3Qx{FTWlh`D-P!Rk-zs!pVKfcyJ)rSco4yTAD&4^+dVuA$$1VKkgh|HiT3v;YO?6BG&ZrP>YegHjq~L z!MpG~#D2b~xCupKBp?K^mdThZmczrSg0XOjA2Riao-mSMk68e0-Hd1N@)U4&cP)in zI_X4paG+#yo{b&i3>OlzkC{N;2t@i>3;mtMr?d`FsX`4zALT5FF#=*Nz=pj#8PZTW#ve)BZ5czpE~C&B$x`v#uW%goOki$o6#Z0c5rEd{5kP!Y3RS+P2u_go(3Yb(>Uo^>PAnOs`wE`}dEAmp>jzsBoWS*J*`QAz7ih$An z#`n?jxH9MYNxz1%1={@eZ)c^DD@>q>gJ0YMwPmWME5&AGq%f%E-{t$x0kRFUb7)ed zx7yOh$OKwzf+3}Df{iq5z%BVSG>*QQQ<{5ZmfR{S6P;7fP`Z708zR&>wHDURZa zXcHwAgJnbtJqXMvRJR&jP`!K^aSnk2gnQIV?PIrx(`~w6kPfaYcc})5f}bRqKtFHH zg-hdk9%t}9&6N!!Bp*VPv{Z$yX6B$J{@h%1m?$&;PNY#Z3Gp;e(R17|;fd#)Vhl?3 z>{AZ%;tA~Fkw28d?`-T8^}l2ovb~H+*VbhHgqHD3<`%4c3#)oWTYj)}Piig%kwo9ul);-Y7K9<+ zue*lSiLx>I^#bs(tlG1bit?o=WNP`x*Mxsaz=~4ip;7x-NcN73H!*=NG*|+eaq&|m zY5oaUw#L3JMIGE(z5bBFXpNZeyL{t#iN7hqR{T?%NklvAZ6kPJB*=2YrGCGC%hzBO zSbJ5G4n;8Xj;#ZmxwWt9hU9*M{vPZ?5CGz7|H+ThADIbXByqoH447hA{#dm5H>!YV z^dc`wZU(9l~*`Q$_PvT#9MKpeFf?5m}G=q(0~Fo{{~Tbn+S0jq@$ zEInq-rBr6jK3aH*^J1$tto0+=j$Etx~h(Xf}fmcGj$?Mk~s?j0!2)O zNUSBW=?A5_G!9yDN%(FZ|1}|t(Vi^h(+CKgJ(`Rry14(i{n$7zw?@jvi*vtO7h>Xs z%*|o>sHq_{>s-+fdLzPm;OA=udBcP~aoSZ;`V%EZjK*FHQN7uvm|$(OLzlnBDlLa5 zsG=gPaK|PX!A@9>ajzLZ6F#KUI>#KomaORc!vv-GT`Cdn$V+232TfK)4~9QGc};^M zGmCcd0n1~!x?rO_-@g~r2(FI6x6(smkkuJ7fVBpRWWfZ#puPQcrp6TpRAU+_Zs#t= zwn#=D11}&g8R=YD$Gb671b^u+k@i!L^JnPs#>S0~dPQ-oq?sVU9m1|asKwz~^r8jm zbyYAb!=>5GZq7k66=@mVMBY3|92~+&F^rAZ}#iS*qC|a)4-malq4FY zEyU6?40Np4N0FHhyT23*LN+Q4o(hYMi$XYeQ*%;ku^!w(LMPX^qR}y&}({t#1zD!Z?h(H|3 zrEhyMv#GF^{$D!86&%tpMkMsW0B~8$Rz;;9Tj`u;s85j1z3t@6ob8v-CI{xLM0|Uh zH6;q?A@|4hh(uyg%F#Q)Vt1MAU9wFl&U^GRcRYiqxMN;e_*RrYOm^beDH6_0Uq1=4 zcdJ@>t;$Fz!MvB$3XJFz)&V?_RUWe@VGvGWJ3{EHmr0fBAdqoL-&?)b^6 zLm}i<>iM}m!l`>IeJ}G-4IaXN>@T|n5A-;6 zKk@fIp;f$fRazViu20jkqlv=b%my13)_b@(p0PNH3~SavWVEb?m|n7Ae2BV z9CFZ7r6tfh`H4ZKNC^Zxm=(6S#_R*nks2=@=51)2EQkdrrr8jTaZ=UC{%E(UFshxu z^a5=41jeZm&j4yKw9O1BZlWyaR9VJ;wyrxFxC}tfS#aaHj?{-5@$2z_OsA8uP=|gm>zRfbn56mme*gC) z@a42jZ7kH!7JH0r9Zl*_y0hlly^Jgl~=n3Q`z3-ggDLkQ5 zm$WEw7vOr&4OS5R16=UF!rLgI_3eK5b8T9?ZN;0O5brVHM0&SI&r`Aaad z9c}}}(|)KLYYTGv7El)eACKOCoNmi!B8?jv@e;ILhfZ1FwwIi-*9qthA3W0ETukLr zAi)RdG(3;9Hm4^C-e6`8cH~6_UkIh`%8*TQ?g6caxo9$l=K|Rs)lay6zVC7t*9lz# zR=hfLZ}H?}g+YP$-2pL&Ad?(_(OQ&HMUj#O{1v-o8owIKQ+zG$R@EKs)+v!a-Ht~j zbZ&6yQkW`mJ(bLI#La=ExXwl3cmkE$KN_-U+a;(pk!f`Hm8d`4v{2zA%t?{_>alO6 z0(C@CDTGawC!gMBC_JP2UWJF;ji?C3O#rORHAnMwHezz+5AwH`q=}<-b}b?&pU-S& zXh}4i>5s{sh5>-W2BsemGJwSK_38I!L??i#w9W=8=bk_W$XCAyeP!iq$ zg}S$jimMCPHL+m9CAdSd1a~W-u;3QlH3YXH0SYI$TX2`)L4v!xdvJHxs_Odxy-%OL zFZ$w)9zD+K%UY}ETyxED)v77q`@H0NbNujsuP_>sLN^Liv@li}V3}o20u=J8`4;{bb@u@xeekvPp0ed>v&eaf!cCG>lL15W%sozNN7`K-I6R*352Ln0<^F2V~U6mQa%m3tk|mcie2e6 z-Q!VrAYBR~CWcOiQ4;!46t^WfQpOP8<#brksf>nJkk^-d)B3zmjr+{lTUDSRW0v}P z*Zk*m6Q$@^FnJ7GiSqN$ex<<}o2Xyd8eanjGUXJXqz=On<;#Q@|K{opv8C$Yn@bUr zi}1(aQQ4*Mct#95!NJT1clf`>}1O&`rA5z5n7Cb%>e{R53alwBm|7 zLgG9$LakG{DPs&VZ2l2L=^40VxlnJYGyAO4vsK(SzLb^1c{4>=)Vn7X{%y&es?4re z!ZOXEQ}2pG_Rmus{ig*Ua@k@&ie6GBal|x91#s(O8r>4oyHQ-1Pm7M^zFK#Vcj;jL zv>ADCvIE8ASa7p~QYZ3e%+n77n(NiR00SU{-AnDjDR zIw$|0X)cf%>>uQ|B`nJ%%0JBf;oFuDlwT2w-GBen^R(e8N+X8g+r%?idjqy6x(MFL zMp@6nxsOt^!TNrxk~kr-Cue$4kpplnJizAPQxgxH{!Wx(ReEu_gOM~9&t|4U$wo)| zdMX=UFEae%VfJdZWqmJF{LuN($(nJE?j^*BL~E9a;Q!#MNyu#W!@x4;M}#=j?ypD~ z&+VW6vcGfxJPsLaxpNyeg2!*j&Q&ifi9K5Od3tU<0(5g; zY>k0W!B=UR^HXgKG0D!SoF!YfY+1az zM0d~8v1Z?q+jc_^TH_qV%&?Smgo>_eO+3iiugGa^*`2iD(KTv&CYjEs}^M-52~!JB&L59o%a0RdhH!$I$W z;I%A*0Ih9a5v%0wqHsf{;nswMk24TGTNbUO9IVzFZ?HQC+9MxTfYI)6Lz6AfsvBJS zue3DSi&t9q@% zLi~OMWGUt?OxVAZh^Iv`Sf&Mj|8%q`(8N6tdTvwN%j$RNn(Iuq<<4_ex7948LYo7i zYUsW}nH%d@5jQ9x&qJ~%-LDBvK=^Jyg3o}csu7uvD6O`eP@&n0Zc7*~XpD)&fLMC> zVp#kp$dW*s_46efRrfDcH7@^u=4E$7>cZ$BfogUPxrLrw#XOcipHtJw#Qzz>KgigE zDvvG>!J`)p?d9MloOb-_(z_s-)~qW@JHI^R+VdrcxK;WL^lkF*6ex-|Y)0H#>6u)0 zJlxr>8@ae`;ynfXmQoG#Qa8NR3jF7EC;lk@;jLV=7n~1{@XEg%7>Z3y{qAsXd*e2mI3PI6FG7-2S*ix@ZHBR>`mCftqmGrS1bs+V& zK9&=vWr0p_gE#8Ob0PGwy*HVwa*bM}6Kc0R3NUzuxy4%%4?HfJYD1O|WRZ`@gX-eL z>kZ#350h+;4Q!--a8#LVse&wn&4-zrrY6g3$GW}KV4&elv54y#)0=1fb~3u=TQsnk z7x*H7y?Z0DK7cD>uV=)>_UvTRJb$GSgrt6^YrAOjlwSQ|9^ z8#p8OMr@{k2bHZDZo|Lq_on^!n&R;y-e*?|?80~1hS-BGm3eNiRq|ZRcu9K?s zKX{2d>-Ub-^IF=)|AcMT_~54G9CUXx_$)tTPOfPH02z-SIDY4sVY1Qo3BLr78Xhp@ zY<%<;I>{IeWL8LG>opc=&ndQb)Fgrt+2+?;_I2Q1u-eI zF=D8#i(p$032$S{upn|Yn=YbQQYzl$PhY_l_Z4Tcd?N!ZqA*;Z`3>^EGqv~3&SE}z zI8REgS5sCnDe0xVT7r3dqkTE)A4*=olK#~6%ik9D+jp@<5}PPq_saz^Z69WgYntiyrUd-HY7e}$*l{WljnRa_ z7QVR_qp5BS;5xL@4>Z+CpMy|q2hN9xG?`Sshe>HU*qj!1lFp)v59>0WocyV}NrC^A z1b@u>zRV<31p`ZVY{m+b4F}UTIz&IRMgS8S>LOxxUgeOJsSXJvtCRhNK5JH#Fn0Zk zZd#y22;g#AFIfcf^Fd9qI?0ScFp2Wb4IklWECvC7(?#u-jf@%@Nkh2pO46r(IVSl0mnJ=B z3O-pb)FeoSQsCxbC{GwAPN!#Lq>|Sj>K?eOPVp0k$dR+;!?ToH#U$Yz;M&@s^-=&w z^vJoADzx@RW3}`7Rhq7B)p+68jFjy6PvN!3{V`UW|AgzYu=FfP)b`}Scpcgz0)k-z z#2Oo}j0Lbhego`vJAhR#q+-9CV(u~0GJoU01;Ey-jSdb}y`hmBM&?v^r~h*8Z2sZe z9sM#iFgqGb10i1m`vG<>H7$)g3r0U2yllaLxD7E9^S{18*Bb#bQ_C?~UY$%G_Z+RC zry*Puc~V~4n9dV z%4Xr{RNl#uhox7?2rfRH;+4SEfE{nm(KZa<_w>bEKqe|LVwjiDdkNMsLBb?Dr<33u zf5ZXpm!Hz1Pz1gLQ)s6>)KB0errov~)=(AZul|c?;*#gGw8_Q{L3Ly=x-{?M=L@Vs zyQs6?6;ZXCVr(DVnt_BPd~0@UEV_uUYPjrsz!lf!)CMsE5u~zDPidn z`DXq<0mM@{ZgRLe=(hTwjlSGI$GWB()>Lk5npk9F&~+wdygN#MhAz$4u#XOZi)j0W zQmc#apU7_dmDNmH<9*O7)J+GtOtetJwu8#6;}e{)QDmNRnzSX5OvgXO(&1RcZ! z(L%RC4M3M@y%|x88_$8@xO}5BNcP8ZI)gWApHe;;qB55+#QGKR&4DQw?)l#q+85gy zIAonSYGlVm2|E7AY7wBr`PxtI{zH&ZiyEvHOQI93*zw&0^iQbzLKnAg?B1s802h8Z zi0A=O_y9E#2;-Uw+Il}Qcw4+!OJfDw2LqBk;^=C1@(nW5ds|6RXw6|zoq|q2^-g|oSX3|&F9((h1kA~A{Z)O>ooydXfRxmXG0ZXu3@X4 z)>sU&i1GM;qBMxR!QG4>CQBS=Q2b7+?eH@PXcgo42BG@0OpQ!EN!nT=2!r=?KG3$iJ_akUTHg9C0=* zK348;alDK`k_+sGqFjY*0j}&K2r-b-&3pBJOU@|7N9R`Gm1oYK3$gR~Z&Kl8S2+*M1l;R(l4@1AN1M#j}*Fqeezl6 zx(gt3ETP^nOP2HGLZgIxDEfLW@`&$BYlHSxuh$gT`CrAm9)n{<;SrNc?g6gnna3M| zDfZe$Tl-(aNv}$Y4Vt6z2==G+%Cms)&S94>OqdMi#`}#M*m13+XK_i-Pq72N?=z73 z2A;tgdh}iM$jQYTe^6Sd28gQf9%Nxp1|;>N0k-lJ>I-QsG45;cn~lD}mN89~Ywh+~ zyc}NC9<$NN=37uT3$9amfw63u6=11i9W*OK605FD=9-yX`OMW{#D5d#okH+{&EgNn z5}NXRm|P4qyVoOewhHdWHCM;wQGeWg!~dm?z^}rv$qo1H>*k`88b$%S_msAeR4cYu z)(%#P>=8uR`Y#)g@F!*f0h`CO4WG|oN2?gy#mz^|GY;_9*AY!x#97v9?`O}+j}af2 z*70x5IS~efE(Bd$AHd5%;q)@SB-(ulyA7KJqrJo%^`)S9^ zv3Iej&{n>R*_)kWU#Bm5pz#Lcry!!k2-I?fxDkxa`+Ac))GZx@zJ#~^L@zA z`&Ak4l>=WQ=;Zl{qx*{@ys_MZD80yAHySB>dZeiTB+9c#`)g#(o?= z*T)aP(8<4Qs}Dt%{r;&p>Pj!z6V;F|Yme>_6hqH}twu%Mgo6!!%fV-S;Q?#F+-+RdVMig&B}5>fOnIyoV!4x zT=3!OBqm$K7Fj&>iKd7K(S8ItMjeBB^bIN~IebBz4NSj>3P_fDSmD?re1OqXi$-BY zKRyO-8`KvUTqWwO57UI9M$fI5lb*x5ez^*0c*Z9sgjGxyeB*do%fdrk?Q9KT(<&Hh zP~}w0F?1Z#+s@@AT=CiV1I#3voAf-4^7s7<%-y|?0z`yG-W!TNG|-Q&taH81l9w#z`m_d#5-bI8NyvsJ>}EVr_(u-iaMiwLzHR8uzlJ~8i?~%j zmbT=h3ZaYXdYxkrOF$jzjs9t5jrFrt!aMW*Ge1Qb@U7siDgTN+aR9v+Bf0!?MBNY6 zNkElvAtce2Z*P9Gq#i}!Br6JL#EY7->BN0|*X{5Jn#eyDApPY0ifW$2rxccO+?Z=H zS8ZsR=eXjb`-aUPR2$Xh@zzae_&4h-wG-p9Eci75hp~o0ILNp0OOruW@?N2qz&6bM zCzh_R9!jvFT9?bzeq1eCK)s)WKoUqU-?a{#`0s7sqZAq+sNOS)+;K~}m_bpkFw8nn z84}YOgnqln70g_EEp$&Y7eox#S%kANj^V4igM(4GY$5Du`g zIMK-eVjjw2!~nJ=QKtzeF>1Y+4GTdg0icGZB=O*3FiPrViTWpI=h$~ohc2e3@B=xGt6fMoyD* zeGuykJQxgxySO9bT&SIe%%vamL)1a?4C6EK`V4wjob%7KDf^-2XE}QPKO{i{&@-r#zfIiXt6&5zP77dYw?7ZjC2Mq&%lE;U&#+9z3)v@P&)9j$rU{qH z7?Ji0VFr7=%z-9BOU`|Z^~&gWYnw8Lue!l}B;TF{%mNwjhYf_fjew~yxd~d{!TM?O znRUN-`ZaXnK9zG$rY=;yvEqN?#~Q%nSne+x=Bi}Fr1Bit`Tz5b`wO>lTlY%N#q|hP zl>1v)vdTr-`LA^y7&-A4r?~A~E3|Qx6@`=vQTqwvD;?(2CWv(}R*~mJ5IDL~S|aSa#-E2$=EzWk-}a)ek>a(JQzrz%|CqQ?x>2XT9ElBZI9h`ArgoSjVoH4zk}}TP zpoz0x`cZoSCBpPljI=+nDRI@){UZs!1swF<^Traqojfp$oEccCWDA!G%W%u#6q9zt zDolBt$yO?UwHo7r&%bC4{k542L;M(VV`eq8D- zmwceD)ES981l`Vn%b|T!U}o9i9kyyyOiSHL*54q4B!qCtGq_+sS?{3E$sW|Cy$&%_ znS{p3==05Cse{32J8geEKtdPl+KOKKCy>QTs7*N9hms4jHjw?Vwz;H7K>=T=5;Pf> zXBkdd(b`2~fH5>?9Q;I!A^`H=D2aP$jwJ_Jpuh`s0O+U4vG zt3i35^S|bg>rHernAl)I3S}U0I0m|pyAuYqQKoT1@9sY=?VJ|ei@PVK@)38|4#TUM zF2+UOCRBTe`U6r0o96@|s>Xzo^KqNu%7z}sWdKPcF-&-6m$en z-I<0DC9s#7I8DAUf=+Pte|xc1Q7)``rewh`(8r)QaDdfsAiZ?=1@Ml;+$YV8L8FHk`@v5htU~02L{rO z;Kd57%Q%F==?sksTR6RrswF$o8d$y&Dj{o40=3_B`Kz9Q<{vi3Nk1G8i&UK%uM{|d ziaye6!f?z_8UWA}Bt48|Mpnv=Y3BjPags*)`OJDp>z8u)i&iKp&-Lnim<#7#@-1W% z8o6{L52p|_kg=WNYo}7&*$OPM_%CSp0wy!q`~;EBLEq_v55#CGGLmrI65O zw4^Oj_fY&NJ#%<0`m>=D;@PBbTjQKF`B7J*@XQs|!3w%KVZ|Ldi?U#5;3ko{4TsFR zpMozVhq^qNwW^y`w~(kuFJztHM1yiNHmxba^yKP*ut_iFVy?)mrXeywVdaHzFle&_ zID;T6XYtdZQ|9&ONXDUus+562auIMOo>JH~Dtgl*mUTByu@vpFO%S~`#JG>Gss`M5 z37+p^hUp?#><_zN%$HqBOh$1&(ZYW}T5NX_i~ZjhssCdy&DtE-fziE0)xnsV`qGuk z#G&X*bTQdA^oP*T=>g;Qz7P5YLs8`#V)&h#mm%*Uj0&KT#)BrabvFZ%E%#R2@qS!N z!Zuc~F~x*i8MjyjX<-l{G!H_al)q8`H!|p#d}957g-c}~{t6tJ$*4_K?@u~H72I_G zY?HwEUkl*-exB0t=KiK$p2kH%gCbJJqBQg03glO#lPZ|3#f*DS1!#mNB#BYw1p|j6 zi;xaM^62hts!O z6a3#o@@wq>MdDI1XFi#NrpNl#BVJBJ46<#H^t z_j45%T~h9t*@*2>@uC-(Br`8XdWH*AymLzSmk%CrBC&E%z<2EjTWz)ehvud;`$}Sb zRn2;8m$#3}hZ*rm=gpegV8nVI041S=bWUzlseib*&y3WH{^jTBw6xs&Mrz|LiC|Jm zN6s%kTr#To4eelo!41bsUE77z-;*|HgAFig_So}dr7pMaLP(^*r(0Mf5EL|FkFu4HrG|cP2L^f>Z?O2wU;gAtc>^7z$sLwHoOEqg>YIe&H?ads zyeAn4LD1>-r6PAvIbPlg`RW>K1J+{!qD%^{2}aj)6fC-4r`tz*SoCnCsGimt6;o*&jZGFDmaiy7^FjJzdA;Q4dq z)~2qsqqT4PaIQAOFzpqMb-Epy@&K=~AbL(xq+##1wvn&cK1kIergBop7Mn}6NN{(} z$+s=UQ;LjJU$cA8v-WJ&4w=mfP47RXm!hmwf>BCuVvb2^AfYT1R24c9hu~V3RL*u#McC7f!E~JW=Q&l*nEs^Nn9?Bq z`k2Jp@=sxaTP4nfJ9Vjf`64vX2r5uTzxGXOpbvCmynB;sO#4Q#i>hi5k3rahhh`J# z#gy)av!Mc%FSUZ~3wAwHjxYwL&au&*`&_-Zqe2emSo`C3_@S&+W>eGA%e>l{4aqHbeP3`k*ymlWn!jY-p^mkQB z=bgxbT-Q^M;`Wi_OzG#p@~x;OGgW6Tc(a}fy-Q_G zQhZjWys@?P#%8!BtI2D_f%G?9?=Q-0qpE>(peGv<96EmGi;o_a8}6_3SK6J><7fYP zYSs|8F&$3oVwu#K^E+S-^NCE_+{hPaQ!%I>Wmopj^I)gz&Pyy(aI%7`T+;COA$_Ct z-8>PF6ycJD6l11D@UdfUUf*Qst#aNpSevRdkKQL8C3@>6){beMqyvCDB>#MN6O5;Q z0rwXu$d=xInB{DinLdhX<5x)z|0kDz%&2feKrK*M0Ql3GmEtQLQIb^Nw}EiHwQiD` zSG^-uMA&!G8@QE|HnAq+U^f#++VzG(tu)IyAIZZhl&4cmXbz5zDO~a_3ZLOm5O?8I z!%QBslf);2uhyAN-2>q3??PTw8{tR&dwBd-X4%8G5;|g+jM8krdPI@Eu?4}0h&h^1 zC)Hn@Z_=+%(pudmotfGBlUR5P?^YE`Eqyt~)ikXBxxU3F@krb`5dxc*q5*re5ed7b zg)2Km=2^5A7rTrm6dlJ$h^6*oxl3RFs=#G!TRy9e2(K@NWZmSvKb(F~Sj&&`%6LU+ z)OTg*c@UFfvGb#64#TQ5t-YL=yjr&x2|?#GAZeia&hBu%8>8q}9oS_Dh5N&7yZt2zJO^$6?G%z;l&CvfsS5josj8`XP@%q1& z&sP=RkwQD)#DE3StrXrs6)$9kgi+oJ#aiyhwg`y18JNH{_rDy~0DlK5(W9FUz9fS$ zKYByeJ3w`meiwbBzdxur0nr*VRbvFYvhKAqqbixs9(T>PD`q0j4XFE z&R-S$1?ul+^Bu&|ws(`E@0GDUdk9V{`lC9s05t2}q>` zb1#;tp5`?Yw|ZR2_oI|+r#%zkjp)kDh{_x^I!6;~3M?c1QdK#>wyV|%J!I45pI>Xi z-3yi?d%wC0+T+cU1RUMkxduFdbPk5Yk6km>q{b=KEg4OZK|$UFc+z!VZ~6h{gbg1R-7-C)z@RRM?=pGPHZ(0VnsS;v(OhVCIG zM7SH2k8c8dg+zZzeDUKO8Md6Q*iO+NvFdR5KuF2yTvMI#0q`Yp?%|KrVa6Oi97tg6 zVedJ+N1`SR90i-uS?8S5Mn-5=zAMJP`a!L^U5Gyqpv5Ur+ldu4Pvk(hn)yRIEiF;n z_lXgSSa6{8=~~}O$&*f1rXn!z1 z+uV5}eqh`KoMfLq7JJCaYEnXHyS+<8)uXDhOjx651! znbP%Q)hZM3+0*9T40Mvx>+@IRm57pJD*iFyNS~=gnIvqmgsp{a$;Z`HBgBL@ zzNXHKPIqu`ImGJ5H$&H^oVcIvHxwlB z#~|?m4oYUC@(GkLl7Ks$YaDtl^(9#)b$oN-+-o zU1!3DAjgmTK~IBM?-0i)&B=C&PhyK6RL!nkA=sXhXh@(0x~7TiCSEyNQX6dAJ)P8)4*0?B-p1Q(YcX4u2BW;!tP6 zhN=re)gT%fp7X1%sjKXoYKlhZC^TRvlE?AcMUtSp^v9r;o_3X5=~#;)f%FQza_Kj& z_YaKupBw=$atocliwBqtzs|FIiW9CBFS0TdI{%mgy-rI#{iyhpN;l)N?HO90FqD~^ zA<@ElnY}M;%@D(WeIs0`O-0J>B(x;%V|%Aa-Wr69udy_aOx~<&Rpbr79gYCO_nN#p zsk446=$y`xf?Z|3QL70Ka{u?CH0tcD#KTa0on z^^=i*jy3(rmlN(nn^ztXcx>wj`9$l^N;l}U`pE9U>L_q8huwsJ!*q`au`JAASxKhs z8`nThL4owCP!#NZ^ZNo#VG{GD<4%f?Tf%`v((x1VJn{{RoS~Ihj4;T<<^c^lLo<0cEo;CbCMsjr59HjzVW`I|b`A)my$@fQ3d+(FnH8ETvdu2z zB8m2trDCgi@1xh-ejPmUrpajp`3?47Jl%MR^&5UMGt1Xhl@C3iurJ`(itbD;qKdB? z_G~p^wJ+#A6GaF8N9AZ5>-Ti*0Rv`&a*T~@Ok)b2a8RCN18og%)~5NC_f`0?0jcnW=RFeQvOdT$zcCQ#8dqpWnZ zp#AqWqCjakcFYX%cwX4ls*IemWW`OZ{L^sJ*TO#>SCeOtldm}rua#_Tk?63;+F&k1 z*5#xu8J(&5i<|SEvEF{PJ1rmat$?6u@o#k{1!c=(*q+|qO|>5+j0E;Za_;e`WMmwJ z)o3$ZHpb%)nSW~fb`!h7EtvrDr-Qr(@pabwPyveY5;k7&QZ@k6a=6Js`%I2rAwIKB z9zn66PSP`4-}IE-QjUnQ_Jq!ec_unvZ(KY~V~9|Gd&;esg-j_!v+<@pt+P1B<#cIV zz`tz%lwcQin_Xv&j3Zo4apr8ZF$mAXTyakvGUL!sZi4TQxVW3_l;dkv3P(`TIE;K^zrkfvAVW?BrJEgp4U<^4BP5c_N?4IMF{cczF$JmU9sm zg^#Y9?KDI+XZUj@jnpkFO4m;nh5!2h_?X+xX~NIfOROK9G>b*yP-PK&0;u=fPW8tL z)`tD;j?0dwBbRP$_D*!IOD|cWVa=r0A)_Z9GDt{VqTkZ%>EmMGg+2E}eFnMR>`y7Q zu?lOwfi)#@VtLDDP%*9E;Y{DWJ?Hl>?vBG{jt}YiDQ#YR!zQPlZa?7O|B@1%Ts7M} zyAr=Gd|SG2rKOh+vJKBe&zR|>rW@x+REHhvx9m7OdoF0#l3 z3FaYb8j-30`~iZ5CYbpeH1iFcz%HDD(W7crpuN5gs=Wm?{+YMXP8J;&jTCh>=PJL? z!6oL0hzy~#q#({VnA`e9gPJE&Tu1T?)he#4Phx!!YUGw)uj|OU%=?jl-CT%_0mRH{ zk&}4v9lOj(j~!W{4X$cST*xLrH2bMeG*_Y%Zz(@e?z^URaTv0Y04UA8P*caN|Igm;{i;+m{_>N>*1CLD8b z_sa3NdTJ1()|v-~>6UkDBEi`{z4Xp#tojW2+@6`h{%v1@5UEYW^Ke5mfQ zt|&)>BI18Cyyc_VMWshih-JEFk%z8C!Vz#?IxN!4P^+neM`y@@+grb`9%30{WATNa zEMx|b_PR%9QDcC=0 z&ZkeOp`qhnt*eRD*bb(%jD}E)3#rA9C3+DGa8{9Evj-8e4$}W3>JXHDL2)Y>f$HuddGQnW%!U0(3|b>iN~YA7-s928pG zf}Z?8=rpJ8HuZ*s?v$SAWSpu&vyJm9;pxPJ8Yv$H`JRyFDC=5gKWWW;O8ID(pJjB^ zC^x(i+eb??td)6RS2&SVFQ-U_{atP@ltLgM8jy($Qb&P z2rPbuzUCyx(-?xY!C2~ zR21dOI_tE0l80+QUh7v#IEu#5w%eaCpkwJ2ff-qayg~J^ad>h`o;3PH{i2`Bo(Ohm zIXm0<)_$nq*g2-Rsv0XiAx7sr*-d$djhSa8_jbL?QwaDiTRS!y4a&6|Fqd_4q*>`Z zD6Dp@xgO$Wk#*eFh?%bsHWAnJp6?4!FG8Dk8z zE5%Oy)j0D{`@KZTs1ZX$!C`FY$>6imxWOd1alco7|1(YVPO!@H9YL0FbTWHrnOHJH z9Kj7a|0&V_m$uTerHVOs8fc>kbg4GpbL+V6kR$iw=2PQR>Yel{11a}YZ&t#!H=j5P zamN98qtTf1QX^w++9T`7xgvA5_1P0FLR1LT_fYSLug^>`=;AGR^KbBib`1pf?kdhe z=h>$k)TH3*hpwFY*R}MA15B3y@9A>v>6Gfml#wQb5Sx}$a8h$4!!xwHYh|^8GI}#bP&=huB<7J_Qp_3sSRqU zQ!CjZ=5a;apw&)L17<={_zUU~6E5+%@j^+JXrYYn*7BaG4W9+6|jAYd=Bt`ixa!H=)hNK)7n^8M{x z2;3vZg$x$p+dO<6xCbmn(JNX1&qDz&n-@{61;i9 zf96bYhBNtk)&v3R-*&3d`fuvHIKqR;s$XEbADA(~5|thZx~9T%0baDOY>R3`t?_f> z?NLU`_5d^X4w~Q4qVKU!{-vAH9~6rg!AbA|yk}uk+STnFtS>95+&b0l6*z;fXH99Xa52S^lNPPKLkH{c$x(CCf+A;cqZpBI;i# zPQ&L1hj!&_zb`8uJ|9suY&zAL2HghBepBC<-?(z~XjD>4gX21R_*q|C%y<5JJ<7yH z-Irqn?h9dAP+m~%rnOw3#aV$3aq=ZS1o?x!j`hjx%203Myg|R8#%B~k$s;tk*h)Bg zz-aKtT>69sVuJ-l;vE_=7nKOip|^cB#+^1jVF z$prX?s(oYnuC1(5cVZ13?5AkO2A7ty0?7}?sce=WWp~wP&vz^bw_vyP^)JDdHbCEJ zLl>a6VGUr%O?&nhxuz)o3&`w!=iyq@cj#|i`No6`o5%Q8+Y8%sL`NtFg1nf~AOIAb zD34WKcBFp?xtf|be}m10l2kl2&p?T;taQA0a#%|zc^r_sM7ma15cbwA-q_v+GY|n0 zF;LM+a{^Hfl@9OkFfB1I|MP-hhjcwe)_Umg&^kS09wG@bP8r}R>+=`N4ovVx;zgj= zt9-CO9uXaFTt+1}lnX)r=c_d;Q~X0mKv~ikc}Mc<>BC)7q@>f6UJnTKFUxSBuDy5I z0#M`ZR@r9)RdtXV9|v{mbmWK^b-5RqS@vd3V%!mTIW$Z|<)r<<4)JWMv1s7Jp3`w=lh6h#yTN>Q^Vv4-Zl$b||sp zs?K0I6jRgX@(8KHCgj4w>i^!|`2AaZo|;oZUzz*K$+00wIj3^-*=P2NiJu*&u8&(} zxI=-^-dNGR%!6n`??d0rYlF|ixTDPxdqYYsg0^f$_;(%VVejf0b& z6>wV`MoKA_+U29?SD~wpcNmlwA*72NK<@9Bitt6J`r{aSH5U~!gVV}k>2iZ(bgfKpUQ&gj3cssa-{j9J0%^LS zF-uJgKbiT{L^h@OM5YMcp^Ojda5{gzA(Nj~AlM(jt~xHteur#@HA0&fq54qBVlOHK z{)LOT2-^~P!omG06!sXjPx6 z5UhP&k|D?3=pLdgu47ASi_b>s5MoI}AYMvEZeg1YN)akgdS?hsw_yM49-F&o{OC5- zhPgD+Z%_E&$ZvFDn7vHex}`vnatX@I#UM1NX?);f%$-Lq*< z1qPQj!+d>SF86E9Iu_)W5zxh%_<~qWhlMv3fxsDryf)#F=T5r6FI>N>Kqmm?Q|F3e zCesaeLrR*4VlXAwASXgVCJN|Fm>HyaJQf^?x}ff)`<*f7=D|bI%EsNT&9JU`ICHs5 z-WYY7Elc-raR8mWfHVF<4%tbm2oE-WjfolDcv*$RqU*J^PGCbX+%a5|)W3Z|y~>hS zw0Z!0Q-D_Z_u^;rpSH6eN>|yTJw{IPzn54H-Y|xC0CyGp{zs>6D%nFf%irlQ>%emn z{NbunF}BgnA_$@T&z`Fcv;kB3;;!3V{&Nk_kbnF64nW>7EO8}A!Iv{=zN`57KkA}h z{g}4kcPo9{){R(-s9Fi#f`4KVU<@Mh)OewiWjfR20%r-f)n0!J2rT&s4*@v!Z@gFYxj-=-ED=OeNYg#diTZznFG=DQT92od54HlFjb`b`hGBOnM( zlCv|Ip`iCi&10Ch=@c=+94MFexm)uj>q<4C!t4a3-_LSA z34FtUvz7+yTKewKRGj>}E6;i=dHBsnxq0&z44{%C`N%b6K+N_JM zS)s3m-TdI#qUECw6EZid;%fv`XsoClvnhLp?A+2cAF{r}EHUQ2b!Lgu1n6u-1aQV3RFbBIFX?tL+uXUOEL<92?kBBg`Q5A zrfj{n=RAs)i0E*T+1liWz_4G7+*;wU$FrGMm)uw_1YXbtw>GVhHI5+V! z>k5bfZ>nMtY*fRRtVg4jBrUHLR z;3>|qO+V83Hekc=?ad%9im78;2hLPyaUzkXB}^N}fv@UI4dB^{t-Y7Pgg!gK#(ft; z1b!CJqwUP=hN#ifIHA0AIE0bKMD@|#1sE26O0KAtlk3yVq=7g!D%lRYze)U<_|nox zgl@u}I_L*Pm4u-v=t&CqrXoNg_w}`@<{s}UG?~@^qT85Ex1u;ENAqQ|5)QChOPGNj zpZ|4NPri6ljRzS)L0*^Je+g3YzAGF?X#g+&xkPdG5wd;qX2;c=BZ76?igIq)Pw!9;<-UP9M1=1-K*%v&W0ROPfILE zCrcYRg(FZU^fwAwc6*&!iUWA#9L z?wQ=e&OXrL9L(VZf9v{4|7$U3M~9IeNKW=2B?urvmMJ>lA0~(o5krYrQC7-bnoe4@ zJ>xJwQfJuIa#@U$moMz-@8nD^7(1n=!(45YS$tjd;jl_K)BR zYw+aAlXknYSL)rq%KfBQml1Ax%=fwXl<5cu*54=|~3y!;A+;JsSyjkNxy2maoOS9Q4DVKk~HRsE3 z#>&`}007RIhmei`Lp-{>4^yeCCpa7GnwUaLn+j zLgDVZ;l}|x%mvd*bIk=mhL}^tQbUF0%Pa(;!~^br(u=(3M^5^0Iw^Iqi18`J+-{A2 zKspUtpuy+jbB-q?FQL~yCPS|VTR-;;-6-k9cH?hTxy@1mMAORZkeGOsKh?jxg^Z{D zeA}^ZjUx~^!CRpC!nb-}h==wxyS{4(?W?ie}{(gaZkwv;+~7x{guSs+f_f(t$@~6t7)`1Xqjt>JT48wOWgy0 zxiZ|(?^IKY_VpPH5;&F-1dF*#_?qrY5BRN&5VWQDq4yl`Qegcgn620uOT=3 zL$X$Q$EuN!8DIVHr9tDJBW{a1v3fe>L))_*@_FN+{78N11E4qp)Mo6J&17`@8u0@A z^PuhdHfPI%AYXKq#GOk&$qtR*gPz#SSc{{%ISL}k8ysdmRPg^_ynSU<99_F5?(VL^ z-Ccsa2MF$N!686HAh<(H5r@GGW zu1EH>pVyHd_Q0)|^D_vJf~fc%DTUa={I3K(v=u)Z_YN@i-V+uZI&)b5o*%U(r0;ve zPmQ|9n6GkKQiNK&hs|y-S+2PQlF=R9eOBC+e2l*rtnzIcIqRxTUS@` z?V-(&GVH+TDR@zLs23icD+{9zsuJEMIWMr6M#xii@A}%(=T=)@65@b@DXk-o8U}0+ zz`5Nhzxjy!4l#Y&Yl{dWee@|e!04V6J}CXkeSaghQjY*|)!nQ51T*e{I>fn8A zq_3o>U~RelInZ9V?1ob10aCK+vh#j86t5%Xu^50H&}P(h%le7AFrwZ5Xj>{5;(Rar z8wO~E4JYpZOh51*o4K?l_ixYZcr3L^-4W`Q{8UpxZ5L=rX24uS3kyE**JrGEol$EK z;`BY3kG<+gTRAThSCCdv(Lia_A!zch71Gc17<7C#6}JvmT6U*8zRM6(X!qlu!k>xD zZv$Z`1_XnW=+o1SAP*Wm_P1jLD>F@+=kSWe&dg6lsX$5*zkmbXkzU!8BR8ZR2J==*3VO;SjOcBC+9FsXo?`2o}(;fN>Al2j`YgOqFKK5 z(z{i+VXmz&32R4sT42`JDb?O&KyhjBDb1(+oLQBuWmgIZ3WLK zX@Wo6V(GKF`#3y=$9$>bY9+}Dlq#TK#6LyS-ilj}nd1OI(Kb<$cdNLf4yCVQG3~K^ zIOW;29G3=unoMWDu1fv}Wx9dfD3UI?A3pD)+HeJ zhE5G}yBhYVsEXgt>U}yb2~ncaEMiSY7W!S+0gk82W_Obs6h&WxKJ%}(&_|wq0Z<1P zCo|4bXr#NLJom$}oV`m<^~l;@?n9qHYrS$J3nZ8S)bjYL5=ofxhY_UhirT#bsQDR` zgS;z`p;r9-y&Ligli})PHb?PMyhMuA1-#SYr|P1?JA3xvs73|FvvL8Mm8$y{99`Oi z{ZO6K-Lie@cr6Vz<(m|GMR@f2@+j~3cuv^~2PjMxu*^%A?pTFa+-->OGXm;Ldxi6|sja45>t1 z@<#}@nS1P9x zL_M&oQbONGQ~0MMDikjVZf7eg(a1sQx2c(SJ1D0;M(bM2R^_1)N%7F=J`WJV^O_Q` zF(aKhpIp$`N{9pR93THfd6-KJ8U2fwHlZJ6NQ5maf^!% zrW8_2{RR{?kAgcOcMTKGAY3*mLmDjz&MG@Cf!47Mzh1_S6j-{9bgxMe7RJBDh8Vgu$-%<3= zUASND?H~Usze_^@w&bMdzWo~Kg!I_E2=UN9gFL=!c5h$!LOx$l&Gf!_H-G-j6dW~s ziQYAH{W4d<>8ML}E`RgV4Syvyr;ESstPwEO)E0FWNq~B$u&ag)Z7hR%&J1lKC^e`h zF%2>x`Mj$wyn$E>r6G^*KaG5;v5se>V2B>*i*oqKy)pg?2@o2#E&sg0B(gvlR$@lO zgVyvKym)i<(v@*=%(h=rRk>1%?#G9@e*h=;7ND!Z9M44svLT5Lf2pcjPz5jfBB zKYFb(34j7mfm2oBll3Y6$^6GQLF_Ke_S-~S-j~eiyu);Jl5Klc2dMK?Q3|@Nvns*3 zFi$eIaV&`W=trT5;`{M^b4t$OPf$ho5zWYBU4Od8ZLi14m-DsSwrbS#5;@>?$9bK3 zqCj>1)_@y-W0I+}W3N!S6!YqHZB@w;h2k|0RQ%Chu3L>ASe1r@YV9Gc zf`j}DkPei1q7D{BaB5AuqI3Z4)NW}>y|gERIRpn3LT991JK%xDk@YdSmAsV|J(+lM z)P~F82HgBMjfdS*_n#o=W3J~ezNj;dBghbGu08WUf$b|mVDY)}V^nMXR$yoJCz3*@ zA7dcK=7Q{XxP?YQ!)8@R!cH{IbKt!$H~SyHLK{CtfL2RtFnD%ascr;9knq?$chuXm z_1X(ndV)P`Y>ivP5fCoQOi77y9{`nigD=>p2Tl~6EyQ~g-s+|zuVJ${6`#K~9#Y0& zM`xu$Welf21PR(`L7=S?sJq^yl}@xP0W zNOhuXk?HlO&Wc`?%$t7Q>V)e|kZMQiRj7QZFe);yPkIY{EkN)5!vv5Q&SFMm zU_F@Np6(a2atg_zZhj-reH|0XSqx*z)O&9`3YouAEM?-exS)45b(#HLQ8Mtx$#9;)w;jI4NsCYnvV6sX z7}N}ssg@uU2%}U}{?dSP5jMiqo6^dII71N{}bcqQ?5RosDY6@<6x6)vI27H!GkeWYf7e<;^N;+J>w_ZUjRq*oLn57v#d$ z2T3hJKo;E()UkTw)ds;Piss{v3{B9|U-&vH{~>9IcnWgb;P(?mUwqg`%o(f;HXqms zc2vUtQyJ)(C`EDYqFWh7jfCUzySHb!ak0#(|CBH(cz-zg8xQ6WqxP~-$mzY_M}n2h{~oS<1X41U`8j(N!0nPD79+v{$j`(q!qF= zUTisEdKbd%`cDpL;z7!HOKK1?ZXW2@`vW)4X$5;l;5Ph)ujHwy|S(@vZ zJ&e*nJrua5LO?-z7f3Buc9z7NHA_E))^Ut+wzRd=KD8r3rn@o%uJAuci(M-CoJLUl z()2eJ%jMvS?B-)UAM;)eB4OaCf-9>*EILV<7hHAugXp+!nmazy>V-Ip8H6p?pvkbu zVEk+@N4_iv^M&!KioItnhEeCb-6NG>-xJ>jeYYO&5o!-OXI;7S&?P@M-EOtrmR+yl zrw^&N+&@wdr58<+vyV;*E_15yVYnD}t8DJY4&%DG68$DP5XONxnVCBJh>dM*0b~d+ zz|XnJZTTA!DNu@lF>Ctah(~AmQOf%+f!A}bn8_Pl119WAi-Ey8`Il2mP#%A?0>FaHxZ=e%;0w=9vNzYPBu- zjeyu3rLeRRS+d1!bC*T$F$FgR%L@jO=7t(FRM0#GjA<_R<>jxF)J0k4*dW%q%pY9c z2WK2?Gk2+aj{$`I&s)~fQk=(ge6OY>Ez1k!4`Ux!;9fHOQshi#uM^E8NHTj&FN7v) zK>msA7a~!gjUXdJ-Sg(t74(a52mIer_*y`{-C*Yp>FzJcXB-t%gSBZQ@h2CaARoZd zl=GBS^;rMH%og~gTEM}bA}qt`-$zYN_2U;HvSM#;fuJ|(X3VvBw_0{~?jRenWOT^= z&rc#k@q}`fc#fo3-cpXGZ-GVGtBXDDT)>sCV(Y)!Llbd@=mXBu0s%ysM!>@;f7MD6 z)|8Zm>C0XMEqw^sApX+|HW@v<%56{=LV7ARSh#C^Y!Sw&$Vmfe6Oz;aYUrzM<}nG8E3n7ZiC#`oVUi&$JI_U$^-pEbw8Vf??_#exrutFW!h zaOIcnL)Cy!Ru{~m?z?l{>JI`Dp^+CO+CJiSSC&>6@6niYN{>(U5Lh|O#Gnet$2X+$%B24 z)8kz9S&nV*$Ab3<*}+7anzWAwhtIXtM{N?YuB=_k!c5_sOQe;5c` zMc@%Pk~Gd1BS@3;PhdIr7tFBX-q#Uduk9mY|1b-VLe56OBeBjLFfXs;G%yK5RR%-n z(SGTk^Xe|i^%&dP#ajx#dX!l5H?9Zx?|A7*@!V>@J6viyl8GFcM@3RViTd?|dR}Kl zn-CCjgVUo}k$$d8SGX?_az32XNYulwx%)Y_dT++qEght0LQqfSA{CT(hP@m` z#6A0UFr~&w+CkFM{!vDzWp zTXTbU1)(R9mSvl0a5+|4k);@R-e&Io4S_SaKUJ8}vgdC))K+t6#C};Zx+XfM&(o50 zE-H?7s{jrK&lKN0(JGU$Y#L~%fTAlG8Enj52-w+G&h<$bCB9H`>qRbv`NbZ4Ro%?3 zL>3IFKYX%*46t3LEFSLcnv#e_y-jVpfr3yHtbj{g1KEntkn^_lsEC>7R*wXQT5aq{ z+0l#lpkt{8>~ZYvw_>e}fWzGLr}{I_(SQ=;dpiah(ho#ZUo-CCtmQ@{?KvLok0dKyZKIVo`am&<$RG&bP^a6cfd1&yLSKa@Kfo|x&L6tpI zFox47#_J&cK^G6$y{}IP zpY(oMh8Pxg4Ry3xKd9Wp?6z@p_$i|XY@G)YQS$jxiW%A?avakM^kok)L*8_^0-Ig} z9`S^Jy6LZdmFlK`7_Pd#f=0u4-Ac|E=~0-oAZ3W@3s;x#S}HZb)V>R*Q^c;^L3fC@ z(42bsY8|mZ&bf~+nYS>nJM2LX3NuSNvBtgtWplyoy>;fU3hSHledRX_=e_g~RafuT zO5+>Tm|P=nk1_q}OAUW$NDNN}&`hh*dqose#4?QadHA9S|HbFad7Z&)8 zYCbkSSh|L&JY$e-tBF*^^oTyBfnp%HHQ5}F>$%5Zux(v&LY4)XCG}1twXqHl_CpKWyD&@xpQP}Q3g*SnagVF zn&MV3qj0L1fZR3$EtLo~WxF4eS-hW9A()s02%rwRx8q4#gl9MH+sG2G#E} z@rKxN_;K%!0JHKOU9*a`h-wA`I3>X{QPyu1C+!W9#kjfW0d_xWWoSbujTK8%=_ULQ zbIJS1@`Xk#NPfb4Z~p5$F++&P1Fv83km{PzdEoeM7>7SkRG&G3BIj1x zjex$^guFFWAcB3$Vve?F)cy03m<>P*J~8khHUKw1=!|~E7LeIFECVkzvSS35$G(@X zGx{!Qy;5i@f$L#0nDj_;JqunahI(FCcAoD9Naco8REP-cVB%vQY+c9x6 z6=qH*x@cL9WR)ZKM*sa3X#we8e*0P`iqY54{RNj15!>i)Yzp%SrIHEXSTojzMYq^B z_1|1Y3uPb%vk%y3vz>9iynd0Do{0O9;AdYE!j^Kwy@xId5Vmirx%U0G0&vQ7n@l4p zAO4{T6z({F{tiCqG&u;nb3L)@?N1fTePK`2AGr9ITu*cfd$Wc+p7A@_$S3xZGErf7 zh|`&-p~P`+GKrSXrM(+OtWoOAXvX7`wSm51Vh-6%2y!Rr_N^{BYNgHeD=y9%a@3Fd zI*>Sd;(b>=(RS_@zq z=D6WhT(93z<;ip&hg<0@h~tYup(-XN14`$+E7%g$qY#iYP8fBx`x3i+N2#E$yax|IiMK8Q^ha^G>FYy2M^I?{FhAab--B1vOFLKA^`=L8xz^OW@G3Ab94BqPb_shuy1{#y!tXw_zuhzkG!*v`kew**T7y^ zpEe}2KQHI!Db0m`b|=HMwf>mfP%t}~?iopOExzphWw=q;TB{ydem=*&;Nah6v(2k#$rDTJC&m#yYk-`EJC=p{cB!%E-?_i+R-34GSZJegqt3gkb{q{=motNeUZ1YiJ|W|H1qrHC6m2W=)zkNt7-R{>EKn$ zIIaej=w`#zDM&kVgBRgmakOS9amt11s7Ylk5I<);uVAE)ynr;)TLRE86YLwDSr@QA z?IXM_Jc}c{XGP7Halp_HNjWn(+Qks4DzI>iobGXd4X@jCL9C>)U=q53-7O_Wm>%7V zgGmruQOIgVEeE)?b2_F2sp8B{~qLgfN5 z6>0b(<5eAlEfLd}B!NG^o<6u_3|ytYS7hsYP$FpzsbYW}E51LW(WbH__-XB_b%r0-js z7~}C&$jlm!zl?jNAIbm1=KXhA0IP{28rUb7H65)~L}ao2&Hg;R|KD&`u<(WZ-zUVi zOOF0Gj-bH2YBIw4-zM-ZA(Q?)5QFv6fB*XguESTFe@AlHl+$~Xf1fa9LT$qy$M>k4 zovAaK44?n>Q2+s&helLfhqz`KSS8PTg_o`{T@jA^1_+?idMnYVhf<4XD=_1$qA;6| zdf~T);yD-0mWgMv(qzQe=xy9S-x|?r7E0xu&YInd|HQB;LmMrHr6}QPdGz6YGdS38 zg!!#$c55jk`cWN25j#OGuDG14Og2FLS6D~XqD7ggi~ zeM3I8^Le;`fLQ}MyWhwMIw2{|FqTFbzq~;Ghn;l8RRDL*`*ojJf_zEpyH9KPfg%Okk*`wFYX3nn$Dz|YnyNc|JXj69IXj0+H( z1=mN2AU$i#e$9{!(%jqr#V7**eyL{h(03e~*+Cg=nJ{Z5B#DPX5XnMXV`4b7qRlup zO!-Z#i?}}vgEb2cScFeXN$5W1XNWT?0><@V+X+Z0M{+A30B3;vuR#!1Q@E@rg2z;y zO6vsu#DAcMCcCIYrn?5Qf}BZQ+k2vR|9GOVElQ7D&-m_~VQ}rCo1dBM9Jnhux+9jp zd>~Lp0Hxo*DkxLF6Vx0CTxbUuWjrT#hq&w?EBr;>${4S$$Y5^ zXQ{3xmP+eC^^~zg<|;v+I~4z%Kg?PG6W=zoIR(S(t%7sFMG7ua9i^UEP&bS%#<#T~ zNT*{nZYtTMvf#cF6sldMLF`|{XLCGLHE9-z_z{`jh)QdmwM=H!NUG}F+BO^iN!*$r zWG%LH9;tpH;+=Z)XeZdTT%^%BH8>v*_Ta=mB6o>k*XXX1S`G#+%19c@;(+>5 zz;>h**Uc!Fq3RP2L=9+%owCMkFw!ez5P#j4=!ls9Z{yV8A|0SGrl*|q{dM171x~P&x_yt#ym!dxf$mGn#?@7lD`l6OK^U z@DqV{U(fPOowKwu)6eE+GjwrtO~bx!B>EGVWW;oel_-q!r1}&G2z}CT?B=*NglTbk zCkV>(F~H1AWmHL%VPJfmi_uSjU zh0VTeneY9^A>#^y4A()U%up`*4I4)K)%nBH=j*dE#*5t=J1T5~UhrH~#z8gutgWZZLqEcI{DP_!=PkRLO#DkoPUr;PuqNF6 z5V#ZYD>pb;ANH}mie*i>&QxEOM0md8uM?Kr&M4OD1Pn>Mfi*tmX0^8Km?LI!u9LMH zP{<8O3Ol0-Ahsm;yQl7}*kH>05@?l?^~LZZXS!7A-vn0%ToXPZd{mrcly}Bmo|$b| z6_lxnA|UJ1(6tp?Xd?dQOGH03{eHVH0YaQ!NuY&R{Q@|||NJ4z{B@|8X%~K7kA>g% zl_$|K7b6E`jTFjcr#P{?FKi|HmsfNl%rivJffz#aY)6|(VXdUlopAmK9tnP0{URGz`Sxc2w(;;PlPA6sQ`P^qIL3Sya(eFE zMPUBNJX-ow>4aQ#(w}_T%p&Db`bm>06p~?NBL5SJ{C~PJo};PA&}w^eXmQk9VRaac z%j|GaA}qr@0T~hL1>f^q6@I^fgnjOV7CRwgDAg8*zHl(z0ChKc6O&gHK`ns4=m?+x zok>C4k9(S5$9NI4&Rgb$uOQn^R!MqA%%xW;Q)N&Oc|1!GLBs(08GrZHD`^h1_p(%Fb2t+EwN~Ksfgt~ZL(;DSzNb;}J;^Pb5`NOBIUEE#651d6 z4+C#O@aA%VfA`8skI8kaL84&^g-JDMcf*|9loRPaFi?rFSCBTxtfU=N!;{ET!YPDS zia?x#do!3g!maD&U4fy#YxsgcI$}EyzKHVvA-0_-)@5|0w&s<_wnRI6?MEVCUYqhb zt$fLRq+X7AEF29@U9N>wbVIU++6oAXPEuL0lyaf6$y--?37=75Euc&+=h0A8NmqoV zoIl%k@wkHM&3=*zp?FA>&Q1APWvRPfblX>!2ssx|8`h=oC`Qw+T2M9DFZs$Bz`+>I z5AS$(_tPFJ)%=wo4U%d~KCaMl?}zb7!plhDC#_~r%;2iG4(h2Vxs|KvTd=fJPF zY=aOA15FQm-6fY_*o2@4`;MS|MM_hoy-HlP?~Qy4Cahc4~tDF*F{6zz^%& zeq))LH>*kp-+N?H*PoBBCVwr5Af*%Rkd0@Kqu9<6o{$vf%CxU7roQLlI*YIgdF~-s$0toWu41qLlAvWAb#PfHxU0_jS`ci?LS9Mk%iq2XSvHNC-_WT*O4xo361M^Pvo_;g10jBrzSc$Jn|_q?rwJ_X2KPW} zdpQLUcDlE&AhIUE2YIoB^h=N0p!`S|Oxtq-X*zYyjk34vl&EiOu$t6Cb(|F_&oG|j zYzfrED7L&Dm1_5E6@G>uI=&vfJFu)sjY91osAwp(aW4&=<|pn5Dj3_w;Unl-f6J%I zDs+n{-MuChdZ4oo{UOoDox~vJK0OHF^%AZ7YOKX#Hyj=7VK*A8%{7&lWeoHf%J~GM z9OkT3n{&)qTDpbYkBwL?jTqEd*=6sJPJO%vH`xWCGM7Gmy1x5?oY3(?ia|Ho>(m)J z$ybPAxq*h>jAeOY+ogEDkT>=zU)R6|GI)dED8;V>r$QF=vfE|@jeFT160r7z5u2u- zlOC6>h?ke4vsWn*M&HyNr|tlK&68bi*ue@r-uDLm zl~HN__>_gqs6kUG*AWTJka;;*+eWG8D9J)8n+X{u#t2422%0ief@M%hcLZ#pRTq5) zHElKf-ZXJH_rB&4{bI9F%xe9}^fcEVcQ3|YqS74)%kUfmiB#T!RYA&{{ga2n+z*`V zO@D+(TOn_d{i}xAGhX%t zp6;8PKhWS0grcYn0@)50`U#52N?lXo5M6I(TR;xE$ z`?FLY?ieOc;!hM}bu3co(B#?`$Nw>~>K>?i06Q56Jx5d21_~u%_cKUYn;zA67ZfHp zCI3Pbl;4vSI-8GQaj=}OI7LDgtx`dy_1FeLqfZa=y%tO7;ov=J`PJS&QB}p+UcrW^ zEnx{h28z>Mbk@5e9QnM*_iOqLsPr{WK@yI=qceL985z8^E_kDOb0TF%F&Q)$!_@VsHcWJ58WkvJYT-@xqMG;S9aj!Gi>vP@?d$Du9Z~3= zo9q5sORva_OMVG}*-Eit^D79%;<`!-mFX^bLB<6FpcP7M648P_de ziqL>V)Z6<(90g{EZM^;32HpB)O@}9_pw<>2=nqb{y6zAoO|sti>lhD&Qb+{Cm&yZq#pxclE@thO^3bq zDl&eUoIwkPPryr$y~9I|%O9FZ@^>}vx{79FcMN;M&5?LNf})@znLV;&M@jcO@gBrE zx9iAy$n@!c_@t>TdHG$0zi2V|sqp_gz>6cM*LUJO zzdAtPhxF=SR?pjh~Aq^x+0*(kEh+kUIF(lVZb3zq{a7>9NT zo}eM(?I0pWi|B~4@Ff?5F65Bimn$bP#==>7puqxw=&X0sH|JdUPihN51J<_gwNwnO zctScHZQ=qT2A5#?2{MEurvrP!T8gHH2d`YBVZ9~!NS=6trxl`WEEMOqGobQPpWHJAieR->oe`G0CBP-Gu7e0M04(2yrOdYd)Ma(33Q$|Z6m2H-@ z0aSYNznRnj=zDCEgwZunL357Rw_KT{VDsNiD2L$^64>YgyVd27}Xt z4rVB^p8z3(a;Q&Ip_GX*+h)REw`LDd;s-6CK*rKB)ZYoUIAsq%bs(J4DfBhO2x7M8Fb`Y2Gxay2ADZ}DV_q<5+y<}#H}P@{ zyjFzB>V@ff^IeNY`FUDg?~WN9^K@z74TbB9zWm^_+g>VTsXpSOEwtYKi~4A=*NL2nmGM;%7rF@<+|_CR;XOSV;3)~&~)9Fa5~OWX#N3XUMuNtD~Rw}!v{BvJD6Lt zHP;9>M^SVgDpZ`<1Z@OcyCW9@hEufSK@1>$i}AiTLeitGjuEfD{USI*TDj=tMImP1 z%vGcHD^k}XMG9Ra-OI>6`eI;7L)U_bf8fsa1KaQ)2p_tB=L;hG{da6*Y5oj27fj{w z0$r;UNRjp#7y7H3N?jnfU%=PjepuZGk5?P|)U-X%RiFQEx?g-HQf;0hyA@xK)ooq( z&_Vh&t3>&0Ho6v$%VTfi?=TQng_E-&N4HCWxLt~* z?SJ6S!eE_-f5t5FJEei#S*BHs^jD$xJ}GK$|2hj7X43W-bTGx(!}MKRjsq8T^^XXl zUZk~#99sKCCd6a=jH?shN34lpw@o71^wA005trn7+hZOZ4{kE$VT3bwVi(}#-TMDW zE?IIPGE&xscL?hJO{O9_rAC!iXeIzeP+(3W(dsyK2ypV0(S*IcbsGDL!YsfvT0l`I z)-XM~KbW2`s+dGEw#>NQOSV{f*#hHL9lb4$|G}2OGP0bIM#pBonRKEiSSomDf%bW} z&jX_w%IA-KyU9BN1hE``J?FB5mZzd!e|f_Fe*Ytf3&z9;V^R;~4|a@D1K-vt=tmt% zq67WIhEPfww&lsz!Ib4wC$Cq#^4X{Cg_V`WEkI@*f{`sz1SO1s{NBO7ziM83PK0GN zjrvojK_3Fj2c*%$NWZ6P zpc#V|VR$*ws0SK^cX2E=!%t{o6=vHgba?P8`4HKq6#^rqZ8Zu~o4h4b^LSYiYGx?z3SeXF5;=r~+8Hk;q_6@rG{fFRjtXuw(s- zDYV8>)ONb+)hR3)SV8IulC008$eZ?ortN3+TcLxYjZ=~@eFYj7YV})9zIw&PsDjvt z7kTmPz)0Nwks_smY&vB)hH8P7dY3{8q3$V;`Wq!)g7>n=Bo0=3q@DsnI;oSrj$}b5 z)gV!99G;dP!=~^r^IES+D+MM(^FsR_~Jv8RuJv%<73nC;t&t^xkD6)@nci2akA;9R|dDTeY`D}OwvAJ zYDcoRJAE6sY!fLe$iBzON&-6oWX)-~GBWlua5BFFbms5aiv=!-`@P5oTCfRmoP5Av zRc|$*?2Pfc;FiB<$%zdxgS9Oe9TxG|>bRT#xFVH=tFvm}mP_ws7w#$&QBm+<1FPjE z=>4u&mo#mC6nmC_THX>FS_?;v?5Vs;>yM3=(UF3ih8jS~6R*`sGI5EJ{ zrcX^-cu~NR*M8ZWc8g0(*6n4rmg1(EW)#aDe?x#*d8PKB?+))vtX_Bh06t<=9t<6R z?De048)#wU=)1uBI|h#XiG5K=ZM(fvg=JNg1)@CLiOa{6$aJ`dK7fj{(uJ~o~Ne}S>h z>Vk|#a-SqG;#uL+;GCG;tYgw@*}w9fW$0@eW_Xj9QOvam48pm2TVyKA&(3Ql^fm5< z(4265@j+p)j7;)wqX!H6y85wGjfXlml^X06Zb1(w{+Ng#FYn9gZ;2<2M_fkmYoP zraF6;N3YSC4{A?a?M#;ou7J0=Clq^4ROB03$UXuZkrtW~^4oS|IU;qkf3#8ojeS1~ zgCx03TI+=KsisElfqay~47bI2C^|xfG~3jgSgP7Kf9=jw*VW@ zm&K5qG}-)|^4%Hcl>8kGVSqGBGjEcbyB{P<(f8CUd{r~|bIHA&h9ny}r4!DaEDR@G z|5(+7oaP)CA-x1ju2yrg;6_Eboz$@sC3N&ieI(W zQf#Hld@j63Iv*eV_=pkx^Gp)TdIeS=(EvLqJ@F~j`ZM>OIhS5H3Qb@c zu1DOOx$3WiPL-EOP_}a~BtPFX=7|0R&hs_;aRxJeqAZ(q`Yz>PaWzeZAU8d*ni<># zkATM$&m4=MfM2j?A&uHDPywRo`vHPC#8>H7n>2GA4y!4pwsLF@#*BDLI-c;C9;R|sAAa(S^ zJqjGv@fAm*soqE^3X-s`Av$EUK!Jea!>fSW{CV1+zz-PA%JZY|o^Q}mZeCu2p@_RS zEqbdo0W@D<&_WD%9H>w2&Px(oT&jHY+c@IPnT6yIUUVRxMQ-Rhg zfB@&{pARsuc=Fi_BTQagqP54#RE}Jpd9Q8*_Zp)dD2Y6;fyaDbcy=1dqhgJpy{Jzp3tB|1rBqbsG;CXY6gJ5UM{{(m<5pD3vXC zd>6h%26hfb5#_f+rm&x9D7V*6&&^3C&Wnl~%ZeWcq7TY<_k$y%Mi zMm->TD#;EN=lz9|^fA}8HPslS*pur}x&dSCN{z};zpeeLUR_;Rd7V4%JH0@~*&_{{uh9izuor$e@Gzv4-LWls($&L8s%1bC0YYd+ zOzIXXluIcbq7>SVjH5+Y#i+T8vWBF-QtD`Nvqaw77k5!qT3olu0qp$@rY;=Bbt2zM z$K$@|Um)1rPtJl0CJD($&9m@Kpz@i?UV;tY$rFvI!a%rR9G|XYSV!r1Kni}qGLlB~ zerg|^@MA>`jc%!?5P}0TgZ&jrdGQ#xuNZbTJeCL_W9WY-Kb%F0X7Mw8z#;Tw3-M)I z!WB0k2;~nLqW1QCnSf80rQe^zgWFfJ)SjO}AT6YZq;*y{>&=_MG&YC&y$5{-VgKQW zZJQQ!9^nPNjiE*ua}$1#F+AdtKK2~NKozJzA5C9svKaIUeSgHAY$D&_qJ=N@pM(43 z7X!s(l7Yz->2Ke>(dDte1+>vehKb(__4knaf!fo3?A03G?Hk;Lzafo=-b!3T0)d{6 z(#F!dTY``YY#$+vWXH9wd_dIF z4BHg>OVJft4v0>-F|&$TC=v@sKzI<6&9z<{!!*&+zmypvpYnpZ?xpha85c|A2cNS< zc{_=hvEar(4-SRT(WS?r;%HhzbA1)r{tf-B?+@Q{;n${ssmmnSFuO+r`$RaI*w(nh z=CK4^G+R+q2?Q;ODt7Yp>}Xh*RzP-nRKtS+9O7oXxl*3pVO+NM-{(oi1+$WX;Jq6& zgl4Em6pIwTE-9Dpo8MURE3b$@721V8>@RI0Lb>z(ddZpMtZ(jnX{5^?ep_8wT5dFE zOD}3Tl}&(Oz5-2xjjqd$s8d1}maA3YO2wl^hG{;0##R_i8oQ*@FiTVONT`lkpQCkv z@QF4J%J>Vq$zANt)*?-nvEz(#Vgj31o3&BpQaHe1LraZF3Jx$R3Nw6;=U$j6T=p*y&^ z<+iaI=9a&`>B$;i1u^9BdD}*>^NL`nN&wzUyoaI==0Y zT;x1Ts}=gASr3xso(nOU4&E{59mue0rD>*1dP=j;NWzc*_-dvq#XW!e?G!~}`0l>= zrBSK%%KZB4(~!sQx@VFaUR#9z5-~KOJq);3R6fea~3&kZ+ zin|wg*HVf@aCd^cyIXND5Q@7LD-?GK5|Z5f&bj|F&Kcv5`*I)d<60SOC)w*e_MUUD zIY09qF9nLye=K(=L=1&qhv@Zsxk>0wk+5i&ZtQ=Eit^o2nLdN!0*!$LU|y$wtaI-a zFXw-Z#*I#c)K}H%ObuuObjyVNsRiAzaTc%qswK}4yJ3b*YF-n6`kY)*WO9|-#R4=Q zg^^)8u{GWo9qWD8nB^Nfi#-N}Y7BqClRmEB4G=2Sk|#*jY$&dzra{sRr(*HyXh-xF zR;HOOlgX=nxy)Wz&InuymZk0H%XIheo*hgGp8*p1id(vZx@H&w36oy7z|gM5LAbt> zSSifrz{D(xv#HD4J=0A^=&kEYO1LdhTyo=iP-dm5~pjY;i+6kd9X$KFkyu zADY4$k*#DivY%$QB{75P$Ecb>Z@#a8TgS6wh#QMbSc^Gt7aV5md1;ThHftfU3lE~Z z%pUzfMt%lx7n4y+dRQgfjUeiugSWr$=1jRb?56N~KnTZ{gfEE1|MApoi9@mI&UCX_hQn6v!Ci zD#?%1oe>pm?g7n1S#p7*alc9E$}8Pc^29F0U*ZL9ue5EBMU@UE{rXQrxe38{-3a>o zw~%YIrT{Ea)S1@D_inl3dw$Dhh!}s6y6Q1Qko#J>=qd=Mf%0Qg!czwhiBBJaYc}Co zqELIE=AYesl5vG%er!?)##;+UVDP}Lhc_HLZSW5Zj*)0o`Kxn1a#~*L>?R-f!_jsGbX7q#x?hSJq1f)?OU96LK5J)L!=YT+-(`UB;b=WkO~ zkDp9T=}|{c8gU3r0;iGrcCF`INl4H0-=7G!MCbfsGV$P#uwjy>E?S4{j27#c{~#{S z{T3ggBrK|6cqbY5*TM`# zK?>4+wfdMdBKHX$q@01P2;KOR7Tk6DN12M2sx9%}ARTF7^fccv&2?P}Q}6tSBuLSb zT_W2B6YacK_ga$fDlxB65^olyKqEv`7onXGWMus?QPUdab3Mkw#B!{R$}H&s2t&rC zsOH>WxP1yjQH2nxfyL34N-eim@R+^nOIWQqeb91?_qAFMu%^&r*pSHvY#uSxx9bLq~Pn3mqy$bV$-iAIs?F@~EE~9dK{Zl*J zwcExnZJr>+%@gS*Abb5kz?J`tV)_4VP#PBIC4anxiuc{Ij;~p_AWjWeTO`B1b0@F~ z78<8kXD~3Wy`3s6MZZBq%4BS6+>^}Y@7K{r;jR*AS*49r$`;Tmwm{|tUSQo(&zlf<-7q9+*-0AkiPUKcNCjh`Att!S84$) zL97n)_lHp%!Io{;yh`47)|Z0IZ3e`m-DFXspdXA8&8&nEPhy~uh#k~noeXKU#)nLN zpZKgq4Jaz%bDuu4vC8-LBL3l;@|c@1sSd^+OQ0iejd9kl9U;|ux88yYczftulxr{M z5mpLIB27FTd2RK=T?sxEY`1J7_rR1c&IFzR>sh_wd0AN|6^l{$GMj{rtw}QTyYAvU z%Z74kU`u5ZKWIKn4W3w-<(hctxd0nyQ@VNZF+(#;j9c&v8UgtE^4Kv`T? zn}dV5{52PVG!#1)BtdFV4fg}38qYTh?H7~|ALi^1=5FcMLIbaPAZ!jf%q-5kjV#P< zub4bb63U2vde=sMMiFtyS8NO<*8I}IQ4{>8?g$+rMXN>&h%zPQzOYl+XUB+-ef0-P zHa$Y{VSlo-kqjbRi-D*o^qljm!ZjrnRq!XH(6LV6z3h{?cutJdqqz*!kkTLNm8PlS zmNhL*K^9^GhKYm@g{G5KN?LWz?B5eIQ4L`XqHDf*|Ip42Yv^wXWt*(2`8n~yL3kgP zIaLPX!21UG!)H_$8FssTrWkW&O;@rvL_2^+G%bbfUq1n4>Pdmo8Hkkp?p}b*{+#1A zGT=kt7(|A9_Yt~OM0?EiaoJ)nhK^Zj9pm$E9lt2zN#)&TrOz)L%=+8|(EBsh&ad*! z%~yxtQmiB@Nkij?X7p1c!n-f5|F+8{TL*~%GhEgGiE=2#59wO+D0Ppds@w(gU?;M@ zYb1vk)oj1H&ezTiDiZKgtE3L@SJdHqj*bJ5NRs7wA#b?p}^g+8-9|!!3Saro+)g%-!wl>ZOSft!cRyHZ`JxLCA%83 z(T((?gC26rd&?+pFfraKRD3AJ;$OAq3O@w|+_JsMd&px($Lkqbugk~kcv+Gw$o&XsdE5N@s)c-L)T`GqrcOml2#m8gY&n~V4O><}#q2n-Y!`478 z6$rk$K()L|w6hTU^~od;T7#WZoSzJP2Yj`c#S}bs*j4mYCXD@S<-Lm(fhIqn@&XaI zARBm7RlHW&Y8;k81YQvSmZWMogUt-3JMiJT3e_a`v4@!HLPmzAI^pyjy`kmg_Wep` zd&o|RO2*gV6{{BqLM)glL(8otkY?cPv|0uOvR)t?e$2`R{AVj*;`E)NECa)dl1d)O{%odSN&;8CU6_8L@k!R=h&KuP#Skvl{v=KZtJZR z-i#r~FIhu*U2Sktw=XL~TN(b3zqA=VO)T|jU!uYo!*;g=#=1;Dxsa;dS&H4~wEEnC zvLcC%rZ5GxnwY(pZum8C+%|wN6e~*{6*%|Yl+tw+ZHam(D)afV$eYf?Kh<9-fk(-7 zFOu@)%hxEOrNg7|Ob`3&@Dr_jrUFum$4t_GGNCi`m$M8Q-5RBZoZT~MvT@Ixm}lJ7 z;iALm`&mjFN|gh9yrGZSdl3hMpI0l9cR>kvuORfUkRj%0VsW4c5&=gtIr+^U&R5QB ztKg@%8F4rRT)ZJ=Q4d)Z0rJ1CP_xn_XzEb>l#C5+;DA=-pLWrvdj_P9WMI4T5(*1M zz1ZxAo?@|OT>kb>W~)DW<;^I27d$@ta-0LKK=kjP+X|4oC4G92#5pot-S}pMxKT@4 zQ?0F=pDG9z!MLwlexr*r)%*|(iTgNENrhMM4S&pE>|M1E(3~JEEtz!8%6gm53g)4( zB~o3IQ!J`ynwUd5eeQB?N$H60i3JB@^bF_VC~Nox^X}-mzIY9Al zwRoanOtVzoYBHrOuI{D=A@Ahm+z$U|X8o6~OGM<>8PPz2sRf!q$@ zq7UwM3%8;@I~D=$*&z|IS?#JS6$qLsCXkG}gk3>%n((E5MouvOC*-?YKB%4EK@Ev{ zy+Sj!IPPPAfrFKLqs~l$0h=OJ!*57Hk6a4qKruk{8A0>!{JQMD)JqIhjuEo|AiJOY zK|`}Wo$!DiQZ%N^Zovp;XV)OduTy+c&%e=^J~JXRQ~2*b+BNb8csYn7a32c&C&6T& zg8BU0$iLxgGTWt~GSy#b7ym8l^RrXQ|KfGw){QFVip`{4Lf82VurBE|9vw1P-Z1{^ zL?DNMUT$6qLMFYtCVjjU$$nQ)^LI_E=5hFa{qx%)!uER9UHff$ zK;$2@$sC$WCI$`XAXa9@`{Efs7!B3|tU}gQyE99CR}L44pG~<7k_qo9sJof zg7?9M-9CJlxs@fwVNV1R=>*9KT%m!puJzaQ^D{0>rvOu^>!UY?cjd1OOIp?i7zq;w zX}x}nPnO(FCwcSFP1F>BqMyK7(?CqHuI=$L{0;m8XLEox-vlWJ7G`v2W~9_4=J=0b z*APzwewoRisurmKyw9%zTD>T+%D>wOwj=(IBXE@pNhVW_+6nw`5mzK{KTKhw3OXx& zQPfdK_4rVdD}0F#G|1)3b$(<-s&tXTFrNEN7 z|9|0T(qRb{SQ_VuJBoy%W?$(%sxB;DFJzh6c*(ROy{<&m$uYgmD{p0((vxFEi^N?* z-Iu^firnuj{vcggR%+YCap7cksVXY7iQv`XB>my>$4jYmW(*08081fsbPTzSL4_yD zy24Y%fFKKA>KZXiXb(jYQt@W(iSltSYX{>uRqmaWpTEx$Z7Jc066&*({@RG zvpDwR_=;%OpOxpx3UT>=NXv6w1}BEVbpCXHM|kzi?uKoT2Q zBL8BQbQ6)^BLHnb`FZ|4)j{zSg@xJ0Zk;hQ2t!bQmtF%z6ZI2ie|bt#IA4`G218%9;~ z3B3aOxMu&KR{J<@hh^HO$~EnS_ap65A}ayXe$}xkRfPywd3^O?3b9u?VZy+$es7-6 z%taUZqMHj&RA%@+da(oWeH!U+IqN@_6yr965_lask3NU-Q*xFI^xo3NQz5q@F{-bd zJ#RcG>7-l>f|pTC-Hq;-5$b|##%M?u@b4h>+^X@gdhQrndHkHSbRw-A`dLx~Abv&F56K50pJmZm+KeR0dZZc-p~dif}{`43gapuw_ln`lkO6 zGLiYXaM$ThIgZ1`PsXw1f zW!^Un@AG+AyGstU*Z-ZpA{ot&`0Sc#rO?oI(NEU5@Z`aI ztNtD8Gn0npaFV`s->=z%YOa<81^Dw1edIcB-$MLs={n)ubm%;}c-Uw0qai5ohDY_L z-g4{Xq5+xE+D%oF;g=WyHc#hqT{cZD2QFr+1VKK1;FG{`z=*<5=8l7j9%TuFv4u zc2k6HCQm0~!J!Rk9P?lboG8P-te`%d2y_#J)+x@$#qsM|(|c`yV(P{H$!$L@kG9V5 zbR7pxM037O$e*ifMKe^KUCx-Vc&WgTb>eE5+7$HG?3*DT~tVrmx1lcQ)!0(VEtN*%NLvTb3n!>{QpXbmY zmJ*o|Za5Us{AUtzkWT?EbSjc|%R2tuK%m_n?>js(z6hj^4%@w%-u>)B8cV1kvARep zcO)c~PqNDhqktZAyJPA|{C(3{qw^VKu|O1E$n37~YpQ@Pxt^v3PCgnK^wD&G_b(cG z@X6?{xp8Z|e?Z>1qB<2Xjcx!DS`T}PF@ha`5%0rMJqC#62meiB21rz$N~}JR*k^}0 zRA6CVVWfazurL}|etXjxk&|YLG8NbaE(rM~ffr3Pf%iFv3Ig~&txy|#gg#ub()gRc zr6R$eu633SK0RUA0yp>H91+;LvKmaoB!T;FE7fxJN0`ZC|H z3ShBxVl}$vPVZnN-YWWi@;6>=F8gyt2VxyQDgb&uJXmi#XQotZ^AD$nm8Rd}m!1O@ zt?0nCM*bH`ViU)}l%#fiJLf9Cf;S$2)C!2ee|&2mydJ~n{7&Fc{GZm-41Rvi4gycS zmlIxMvC|#$QsA$xC@CCl+Zg-~h4ka4|Ax`2RF0||BL4n%bDBYeU-L2RyFXv&Q(gq} zsIe!=Z27@rOWw8=KFp&pRQ5Kz3&GubpC=JhNx*8dB; z?!FKNe04D#-)kj$*LLPRtReGGe?jJOR!C=ZvCn}2d#^c0`u5*JUydG#ZxX{JBDhVN zLCk-e!f4swr7CwYA0bh_bxa39nVFLAOZ9+ofg)@z*{llN4D~At*vk<;w+GhpYYZO?R%CNe@Qo#DY0A8$?D1gFM0D-|YOKZcapls+d6Z+3*P5Lw8rXH1!Na za2=KCm$V!TmMeR(LvWfZ@c}Of?s?&D-zUjvq1J!4(}+B>yMj#fpHRdhv$Yb zoeE8vilW+@+e?ZL%8C}cw=@7I_=TvR0d0@#Cj4iB2v())m@KoL^X#gpu;Bv_n9ESm zxu((6)tpLU!yAh!--l85+zPQtUSBFIu`XTC(DI^b>2o^f6E%KbAFs97Ybip57}V3r|~%cq9t*6nR+*sJwX4BhW71+RETt~THs zh#UB_5paW0&QSB|MtaG}4w=fpv(|MLMW4zP)5dDJFwqSE0(7%EW$8fR`;&~JV~FFZ z+)h&Txz@U^69zP5WXPUxgsF4EizL*q;s2Bf(2WD$ZM5DNO*aP}VneH|8x1Gaxy*~3 zb?q7au?6h59AXdcaLeKtp2)^!>7RmmLh>QVC3&F@M* z0E49CwZf$I-6L8O*v9P*)()uIb+_&e=RKJ1+g0^ifKJS4r1jS9=r2j)zJlSB`-;}t^<6O%%{;T;=aX;%%@Ew&0qb|;ya|+e%KWy}?LMq6Fw{Fy=fO(B4UKE&YYP=4-Mwj^pfXC72;LP#6KHb_)_p zth5sbQ$`YJJax+TxXAv_eA!0d0#cM_kBu`m|n zKNy~BzT1ziJleHdq?0>YksUsE!>zX_{b{UU!(vBoELa(G+)3n~m@`i&gvi^>B3_se z2zi(2z*7%ob(XDoy!QX_Rl@sabq3C-PcPp!O#oBj5n5aN4$WaAu-VP6SpT&VSb}it z@}T0ifFJ}o(hKcda{*TQxsXHxlh?P}9-F=32WKwz9pvycLts81!goFKAIv7{p!DL? zLsx(2zM+pa6KIgrZk@1L=+nK43~L8vBY~AKqLK+z!C3f~^vi&zaoBHdV=T+)0aa$^ zGYi#BA@SQ8k|VM*>GCo9e~@p38;Di1L;myMD0F!~{#CjeX}OGusXKsfg)xcK%QtGc zjW$P7Fo zAp7I{wg%DtF2&sPb20{qy`J!r$TsziBRN4ebdEe0YV14j6aN)3Ob@7meVlE9y}(p! zYL8}K^i?Mt6tA9HBb?}D)MPBi{KN87Va1s7l(&gWc)ZfUA%FM7Y(TO%ss!FS0J@5p z!z1#cnQ~Imc->KD`pIWuy_l7Lv%;s6Cc=YQf<%p6OgcX95IweXcoS3lEcb(_v|BaD zDFGW5YS=nB^2XL(EudE)Y~P`v%wW&0%Qu)f5K{dd0?F>N%bySyEYN!C;qu^7lv6&A zgRdd7p>UVbh(;9qfQ4p8kv|EcSij|MT~1Z!PYSW^qYJ1Qw>qs-vFGZfF6hp@W)D^> z{1SXBrT4Wsi4>$juQ2NQIGGGt?E$k8i3y7Uc5%Y*)KMWE1|RLj0Pn%r zzY{4YAx0)*R)t8-~$@Up7<4k4(QcB_y(OcfY zX0g{8jb=8;w%M+?lWPmkcoOf^U04lr#n7?wG!iKE`GlQ-^qtO)<;}Vo}LhOGIF@!9utj-M%MUN;Cq8)qgW~VTZ{WsKfJj#zC|G!=$M6i;wLIB%O7U>&G}n zu6GMJcJ&{)1f=tzz)ghs?i~eG&Eo>_7}tjEO?=+enP4&}4%*0%sO}!bU|A2p+`GiK znn!@eLBBxM&38*7=n6>*fFS{pcNl3v<-a@5Mh4I)h5onSNZl2~i1&X)en|WRsh?QX zu+Lo2|Iar(Et~WffnJU-D|y0T+u-~oF`bw{oMNa$5yn7YzA&;fF5Ha=V{mmJ?p15L ziKaKtT3uyD_syFQ4jER>;#32X8L!(*`JSX`m!aL{GZ!{wWo;ZpbMK=PAlztOA2J6- zAc5U(u#DC51JAD*)L2dKXc!`rA^o~pqYUR7+G&Y9Qp+w79#xj^n-yFIaNh)bBs z(e@eVyU?iyRsPh5gj|J%1>@QiRjA8mfr|)pbHSNt1EK_dR4dq6qkKJ$mZ}+IPs6COp#ej9Gb^9JQlv^=~(t&zeY9& zLp_d0;90;Kv30s;M&fG%&paEAJeLbFtGc#zkAGsVwJT=NoZnT<5jdI!>9g+{zw>SX zbGcRI;qH3Czmhr_fQ24aT+jz(dol@-qCEVp)uthgB5YOXtSMfaLuHv7o_XXD!V(ROnOLJS>6E+?4aIHDTLep}oB-LH2ER@CykgKkq+pN3J?A zKNd@TeUM}B|4~nIUd}CzU@1_7wpfHgO!EN~&mO+bk&wLVGuPwtPdk*`O;J+8#ZvzpNc^ zng#7UJ36ZHMmY2k8@=%Ais^TsXdfL%)YDxM2f zC8pMfoWB;wI3Ayd(QQ8Eo&nErqIs9|05YudzUhpfJM37!QF}CQ)qPQW2HmfZp4KJ+ z?F+S>$lS`S24wP6OxMYrC7lGf1C(G>R3O%3_%e-H4PJ`|R=O;#RW{L-i1II6ND}SQ zmial5l=fO(+q+sv6Z3A08B;~!3cnnUbaMRftNWPyR`weAVGZ_TY>5$1In@0KX3jg6 zc5p(2efS)gk~&%HB6lNVkH(X7i`IIa#UDXU6{IbEkGdXSVT=Ka>&I*pArd=lw&x<4 zH34axwWkHnG79Ht@&<{0uJns&v)dsD8n0)}z-gBKRlc54>!E>VjUO{7P63hrSfImM zf!va)Ji(PM<0(K4h8dutc(#surkuzL6QnDG&_fvR-z9aj66kccBr{oIj-Xhq!$hZdslJ7a=GKd9t*QQbVX zs~jVb=N_UM<*zOS^>jxiV$I7vmg+yoNsO-0zZ7ieE}w=0E`Y_eQHqFx<*oC{t;}AP z&>bH8`QCY|s68%S?y1r(E4_4%|@)&2edRidym97(1@dSW-uDpJdC2+mgFjV7x(Ugt*xR2eXZ@bw+?m|vzu>|BTFPCerxP9Y z0c$4ph>^?XLSi*>ow5a5i$QN2=~>&Af|v~#y!+T}-ccMjP@9zp;;V0%2I-ENqq zpyU~UfptA0a36~U=-k#r-IrU_vk@~X7nx+4ES;42*Y@deeH9%W4g1!q_%G~wuq^y! zs)6pxUt~FJ{>`WTyT>7r3Q06WDkIrwdLYl2Khc+c=nCI7B%9NCU&rRwYRPWSRQWIK zV+^ZbxR7hVXzOU`D5Fz4T>qPY7(tZz<|dAl4ZUCeIZ(qX!#ZBDpsclCg(~Z15RopE zf)Ix-!o7&aWEkP|O@e}b{BBerHLZj*(3PBQM#6~|)!!NVUEcqVTN0I+t12iq>l>@R z^ka)S!j8eNjZPePmatUsnbQvN{Z-zIsQ3Jb4getNE*?!5#X)BNk^ ziAi6ZKKn0GoftB93i=)0)%V%FmyvkCaKf~@< z;Jw$A?@*cTCf>!lSIzyEk9D+y$-aD0)cj7S7W}=SzWW7;6Rl)epovw`Pd8`T#qKQ` z)Db-h_SH!@=rS7v_r1)r+J`6 z_W7OP3iZ*t8~ink&;{W28^5@g!D~>@v%s%CMu}=X`#&szOvdgp89{rqc(poWH3i$6aQ1B00zA49 zhuDI2x0n#6QKtEPd8^P?MjHI8Tfzgq%CSCV@`fc^wayUB@C%qW3EHkbN#(QKuJjM) z_8?QO4xieGt`iq)2^@>EDp8`n51}wsI8>Iu&Ca&S);^@{_0jwS+)*=SdVNdCA^BbsOcO$LBQC|)2XF>a1|*zwfyH(J^rnGGPO1%cMl0Y=arnc zo*}mSL(T)<1%a>^cx!=tm?_*hmUZ5Q$vKaKhSB(~s449U?4@6<^M3OYd`{-2OH^zu z53Zl2XAPFhJxk4al;$>w?a2a1|PLO`a2goZH1`cqL~h~p>J)(>C?@n zocj09ir*E}89%@5!Bdgxs-KT5Qf-kd*yW>qxSB72Chj<-u!r!*Qh2K_g7U(eUdN+@ zDWALs_QItk#$)z&gLRBjbG8gdfF&wI(is?@th*2RwfWPEr)|u}C9Q{3Su!hcpZSAQ zZXFRhXiBNN-m~fb16>vh&YOFmQ_zUeOh39(xB6$?A#7ntOEc?pG!qV#(&MX3qH;6w zvfc=-_?W*I8?#0SjDAU0)!~Y%H13*UON+MKseBPuiKcv7UmMN>d!3kA{#*sK=oKpA ze2q%ZQWXD^YT$DMMWy=V2d1&oVCyjX=Y+mmZTD0izGOvn{X zL_=3i8DQ9cK4V4QkJlpbRAxvW89#hTMI3gX8$Cgk;M#Y*omq>DHCpq6>DZC3R6(?m zN9szQ$%T$Qix*ujdA}mXzgxq)-O!*PttO zDF?umvlM}ljFJ-t5iBmB0LlprgEi{>ZKVxpuscgX(|R6?TD#hnnN2kZccP8+faNcC z5h3^BO|7Pp1`d4?RT*s&+b2`E`~NpJ8HOJG$k)pBR}ta&E7kCY!=%1knT@X4+dr9@ z$@Tu`@I?EM2IZaD-)jB0WE9wvGFiS)h9vI&#@f?xtiaFc{?A77{QsrvQs1XW;F6QU z*DZxx_^%$z4EL?xTX0HmlK?WPKFDz;ORJ|-N7pg|(yf-L1z9wwOO#M@gPHJI+-&D_ zXk^2P$GXcKO|aAMD9hQTlyvLVkc>)Yh{8aV_}@=fkw;)M1^S!3kpPCTOEx)Wcpr^A zKI2$!3aoNqPCUiVgBZ#wrQv<_o4b53OU)mj+ur#k9an@nc_n%-&_ldjLQv60tSONRCtfLpxNLbG1S3<$keBi{FbT0FDU8bsw#nMOZ0mP!9Hj? zKD1xUJd`+1mLEkf41_Q`k6`Fm02FqoXuU1SWI~wD@KsK+GnU zkTQ`~II~txtRa$QywLZPv|19q*pqpq3@zYI0_{UGc8DcZY~%mlIkCt8XEBs@O8|7i zY)k66Ed1}YSuZ_5E?VV1^Irc?T|DA-MdAKWsg*ZUdN8A%4Z5XmZeoz|D^=%L7;vF)7N7(2-aNhTkIC9o|r%ouUf~XY@nZHp}8Wu1rwR?qvHc_smD;6y1NtPcqyZy-}J)v`MsF zTkJBXL*iZ>xb`x|%kY!F6?hpl*)6bIC%e?`f>mXk?Bt)a`qt~!c>xdwUoulKW}IvC zO&kMxKKs`0-3eFt4~;h9wBjtBOL5sCA6Q!*X>DH`$F2KXMK zw*#rIO7W?#LN7MD=D2&e(v7A7A5qSL5hFfcBdNQ1oRHXS>3Fgw!Sd8{?guKmBpN zCbn;Sa#{!L3p=cvoRfeI8Yzhd*-9nv+(XfoFW8Dv3iPZu0^eV077plUu~e)q{|JV; z!7UV;wbJ}qA8~#itzz3QThggwi0JAYs^VRk<3!{zBDaU9YseSH;QwKyv4pJe@fp!`*S;u~0*wz9YCNjVf(8 zDdivJY<+7HPQfBS3hADl2L6~pk>mE)fFjmrfw#=#l>gveU(YAJ5z_(N+Q!m0>UsQD zP&&O$F0oiOcmwmxeIl^s$*iRm0bI=2T`?mz&-nlxEmog!MYJ#^?o>581SzDCBt6fSDP17Rri)jglGe{*#wp?i zI2Vx33W+fBmtHPIQ)t7VeR;HFG+&*qBaPMzZ+b}ewQ!dd5OLL;XB6|qjq%s$88jM% zTe#%mL#@arn9=ZeRACd`PC$~Y(h7ZeVZ_w$5dNH8ovHs1ce&`^A1apusf<11~LTk42`X6TB|}+Up2XN z5<@rMp;6NhlU<*xuloYMGauKQ&!*6JX+@k``FF7)T;|x@R{Fd(TM$lYdRISCtyTcl z(ynaC;O?BklaP?bR2+ zw}W`n!oOEBu}bkWzWj)=c+tr>d93aH_yyAbdeSzTjB1Pp!Ziyn(CD+p=Yv$R_smLYPW(8KNuJE z+y)}{*fOv}xQ{@G2dCN5w|hcyU(kJ`Kb~p%stsT>!4d*$CuhA3_>naIPtNgQ?XXKFOg!d0G=CM2bWKl8a{Gdy(`$_gS;)`wtMXMQ zQNYdlHdb_yLZ! ztKZ+Ec{Rb=Utf}Cu+1~3d{2Y*PU%#IX!cI84|yQFIPT@F=Zho!^QT<%)QGR)D|+Pxj4paKFqSoju_Eao(7iH`Hm04rsKVf!!#x%|TNgK>e4QQwD^c z*wAOC&gA~~YS2@Q33N#G^{fqIR*Uz3c*nrSo=+>N8lwT6geB-UszL$D6}LDosX;q& z5*>Dj7@>ia%8_kEq&)waJ)%E_CquX`B_xU%eptvG+2ZY^K`u*rTtMG2h(_ z*rNs7Et{$C()Mm(7fcN|HgT8k>}+FsFPlWasxB`)Y3qRt|z0OZ~m)Alkn!APw7L z5vKG2&SVr7DCz!<2_WmMZxd!GHU+K?t+f9Ttu6+HW%U|a6LnZ{Pg7k6O z0cgnc9s1`Ef$W!gb2EJhT|Y$pSSK{Py=`nn19!I%Ln#1z@v^$utlBIIYX9(yI$ZoL zrk&@3uFsj9xn-HxYpw#@*VoReq-yry>bv9qo6^mGD&zi!KlPFX>BiJ!wI-+Tlyhdz zz;lE*BHMrDwyt$>Zdb+dxlVX`(AGQUIsx>VN=HiA6-K1y_G;-h0})|$UmXy}-SHWH7;w<&*Oo~9XXY+pgB@|B$eOE zATf*_Y|-Q3$kRjk>+$A_SCsdSTnRtK3{EGUyHQGdHB>PiphnyD8~weDd=RlFoP7AD z(^8*`_adoNB)|%xsj+h3-a^{(A?Zhi{qx03H6~CtlUgrA(fQ{?>R+IxT&Aquug0+` zbGZoB<(qt+q!;nq)Cz^W2Mq-S9Tf>`{_qqNRIBXM;!7>*dspE;-3x?v4GM$uK;otTOh zx=g&OzcKxJ3%1t9e4AXeooNjWyveE_>2WbAxVBciIl&%#6 zIHg;Rd{<)}mR5E-SnK{UWjiWf53gEQ=xg}S@^8dg)B7%=rSN^8)K9r=1yhYvZsAKx zU*PW>#5y|6RTDXH2i^W|nZvS5q>Tx(#yV`WTpk=+XST~>0I~t7x0cLE zU^#TOmMLUy9ctzn8pDlk7lAC$iHca$dfO_Ow?FlqbUNV8D=(iuB~p%Sdf+-L+h(Um zcR$fk$+sn_3@r94eiqfmd)QHw)|N?>*0ANRK1s%AwPEIP!Y&-s%dAXI#)m~UY#9`w(4z} z%K#oRw5SzCN?JIDwPtw;AxEvdhuGShSca)9jbUZ1x=FaNx6%d!z9}o(etHE`R)328 zuMJ;nbJvFITdlA;>tO1Xxv>60Tt6R}tNgQV(QQDJivRHh%s8fl3O}{9EnI3hpjkUA zBB4OlZDRFe&kZxS^`@BrAp1c})ZY;&y~PG8NP$?d)cP1_GxGoU<$K$1>vs^^T;L(D zJisR4XIz)co9818Qf2SOHinXi@VPhd4|bAzy71xKM>fQzhN{-E-Ryb{{6cg+5EhAQ zzF=XurXdFrq*`Kh_M$tr5N%-fT6Yf5bnNUJZ-;zwaGpKH=SQB3{r?%~mW2i*C-#(0 zJT}5R&8-~OxeO`U2^XqwwEnsM6LFR@YUF9FAg{wC!#-a0X203!HN5&}2t=N4(}>)i zQ1=;pN~8Eawa8nzv%2e_3QgE*r^XpXamIOONck(1qgQ~3CP?G~pMT6&Gfa;^_crSczxU^%nu9d0IOm4$taw2T+vUFh} zdq>uFHM9;EmoB4HLGBj{lMrvZQF>Fr9VWp+)J>_AB&pXt0!h}6c_$z#gt#l4D6}QJ z+>jZPd!XCe4APs8#KqnCSfb87tp0sRPTw8-3x!&^kBcs&qzd(}($(2&fldwmi6(JklkW@h5{vs*zc8tqfibLKWJfAkC2X2veWf&gGkK~Z)gWwc zh4sCmAl{9;091D%NMMd#T!&hzCStHbz*@fE=Q-`%2W%j)%3eag&pW(- zf*q>Puho|2TaJS!xC=_rv-LAMJ`m~?&^*akh3_)LMG zRi9}6=eMxm+osOG#(i8Tetj0Oe^8+=LCF1Cq>iQG>+4)A+|pC8F4PXsYVwEy`28_R z*Dt&B1_7Ucf@o0TcvL(jiJW_QPfu{OvAq73)%&qqg}dp=b0@?zu0x|tVY6z)r2!c4 zf@TFEC!^Gcj(Y5~H*08W+5qog&cfH7yCt>k-=Hq7>+ijQI&vn!&IBCWjM|P0WL)~N zj6Iyqza;tWCR`on-t(C=-L)Ian*RK!{q+iAaM6RMx5e3HtAeu@3h+EiPh0m8+X}D; z%H?tgkAA-hhn+2tG|Z_xy$t?imCNncrTD1BbsYkGnXXOuJYDpK(U}7>U5T_lJp7e% zjV_Bgb785G&n;d=0p%mcEJu)bCYCPb48+)Rgc?! z2E-W(w4gmbc+bpgMt5ViGVEs6_!yCWr~Bgs24!`Kmr}BWLXkgE!roOHch9T`Tv^tU zXEOy+Vy>Bl`j|1h^H*W$K`a8<38qBW*yipiFWvw$yh4e)DaMJ`DlZvpJysD! zlHjm!umS66pnqAQB+UCx?P`T?dxooXUEB15mec2Tq2t+542s(d0Y~tJcf@H4yp%td zhTdSz-f?32i=9amvZ<<=7S~&@8~R(tR6U8Mnw<($OnuaeP~I1|$c_g$+ehj89S$uB zv}HEA!hgjO2N76Au|x^zk4%_QlCf z@SRIRPR^vuzX?Y+M5`;TNflC!jmV|37-@c`S8`1#0XyGysPYW`DwXPTYN*vGcBthu zQcA#Nc1$q*C4Idyt!DjH5h|~3jkEvgN0g*I7IbNP|Iz)P8n^E&VOnwk7`x&`y@{{d zpaQjEjbd8)4shxW#xOBZV`dwp9YU(#Kf3`0%kfxnzWpG*^8#C4Xg!4EZxbT#8|~)1 zu(9CCeUk>(4cYny1la(JrNBcgh6SJbcpw@`)fe$rnCffYr_k|giW>EN;(d3P29|J= zx5cnWjU?V$W<3GEqemU%ame2|$CoqdG7uZFD)9+qkVNcP!9wRm99CUaa{x)juNLN| z5flMwBz{$mzRRJJ+a~QYZy7<6MwFY-xuKaiaVB7j6T(Mw@`d9KcPy6e`!nZW0%r~S zjAO0eBnnFCgG2_8J)bD5D89qp{oq3)PoNK8GbY7Cw9ENji))5ZIy z#DlJN1?DrE1PlC}Odu%?6}g!AHS%F~{}!R`t63G7g1r9yC6K(mP(JP}%X&$WK059C z$#4Ni@n9Ekk~Po@t=#gyF7JuH=Ko9>mEh}^ePK7Lb|P6Z*b@~8x~O6F!lFsWT&_$O zvDNN+3N-7X#`)>QTV}W`cvvs?$<~D7nri@gYbbP$s4W{nLO+l5eMVJCddv}5lyMzq z{p`$mkXhK20*ax+Cm86dJj5{H+5oXdJe0=kTLCWv9|Y@<@_G)oi~`+~U653!e0^?k zGpZ57lCA0KoX&U4x68a~RL&L2t}K@@uFE~fGACe}W5c-MXDDxJ3!m=WIQc8P2h`2t z>S@_EDd!0kC9|g}_{FkXUZZL>C1G9L@>k`LnOYB1-_NM6Pud3x1r&CP<=PyUPRqS1 z!O6|)u9OD$i{k`Wo39-m-8C^wPQLNBU>1!MLAF4xefLUL#nySK-J7`&7h>*lbOsV* zk-;i>G91zenD}pD#8{l;4n%S1U~}@_Q##R7teCa$TtcNFx9kd~xtO%eB3IZR`_IW!PPIK>Di=Qu@IPv%bqO5lk=Z_UJuC zhRI@lLG1A2HB_+;&3nKz#GMt1l%3?lvE51(y?Av~Gf4p8f)mL))IIkJqnfMUF;JOpVX3zFkx`od zCGz3zj}?0}u8-Au*Oqx7Fwkj31DXK%OwTu2!egpC#5>Fq8QW_OEOo4rizpYh`pL3* zfQ*tKYKiw>3A`Lj-Iiz@I;S@;1pPyyI}?ay^N4C|A!KK^ z+BU)>1K?&Of+%$3?Z}pU%^!97_!6{Io>@M_(8U#9@l2xCR`1)bKR)!4v4JwUTzzcIjqv=*w^6-dhOM@To*p@LR%D+zF(<>dt9WJM+2XlRdL9qLU z#Li(Wrtr`V2lD0t3hudPHu4aC7%m0g#xhqWq{z){8Oaq>jJ6=~o9u|a;uOu}=f-}2 zxAWA+HCK;pA|Q*twX*Q*`3W&maKN$jZC|ZSR#ysiQw~l%7cp=aeURh)zQlR%FcZ>4 zZ+|jUD7$u8U#k15LvZ}1~BsFNXl-9>J-5K zRVI=8`&>RapLsNS02~f72`YsN+4ahAlU@EUJ3a#YrmF+2h|~5I(r-@4lz|am(qj_F^9lODrEOnC!mbP}(+=HCo5?)! z>{S9Qzr%qKR=zbo7PKSAZPCJ86c2mTyptI*%cIGNc8FyJ(7WebyFoioh%CLs|MY&)U)+#gOjw z-AUFwFnA!=W}NVvP>&*yo5ZIQ6=KM6dGloL20CkmQsaes*F7{O zlkEB)dldWc)X0lU5`^mQzEQt#NBq$tv}MV)PgMAPK>b7h@_!#ZXg#3dM1XeN$9lBY z8>sbp=i$>}tjS9iwzqJ6@txGmRNOoXFL~Z}MSq8iFl}cg9LQ?9F@1aT28>$}?eF}; z#q|JiVC4HZ_WV;kQ*dGo32-i0Dx=xp6xpSNzEfYmyX>e`4i;}VaL;;%1BojZ>DG&# z?1Eb?mA%sUh^49d*V*Vr=Vss&AFx_?#@kK=Xs)$JAs1VFQB*7bn{fn)$% zuvV41HELfQ%UMg>i0uu0lCm$_EmBqZ=0X;;;&7Be7&e=zx#P3~*1b_c+02BlU8MtE zd%`I|0s?@1E}nb{B5huSPfI1PX;T?X-9OA}#geFT8H`lF1CK;VvCiSXuSw&i*lutm_FQ{X&C< zv2`xNhi8|JzBbt!9GULXtNnaSQ{ALOv`3zujq;u}qT(#ll; zTA!jae6J?@rUJ|PPn`I|EgRlO4<1~Hhy~7F)+_f;7OD^&+*8g%Ok$7Z!pjquT3z%i zx#qU3^iZjj#KvY~-+o&o_@I7f!96*K7Uquw8c}bFf5%ueN$jMFPZMz8ML)NkjYSn< zOTeVJsD`$$oGhKLH$B~eZKn)dWPI6vEHC-O6hZ)cMI_oL^_Kq}R9q zPZZ0H&md;Wc88NSdjZoll$ybPIILq39_P{y(DIP%s(p6O#8za z+{~sJAV{vQ&ifbhvy@Momx(^-)Qo`!)YZDo106D*M4g$UPpz2Gz$5AAj9d zm)luxBr}f!)*9(qNi{h{-uGP0@b9h+7Yb|EUa5MeP76I(*JcJ!Xmy+bZcB=oUKZ0` zWX83myO2zN)}!-&AzT=lqeTJOrdmE-<~1=GtBwnuplH%RcW89&TKwkaes$~O7?vC=*7{UDvUgR-ibBvz;-dr1;^?ovc%63 zXv_JFwo)lnbZ7gSZqgGNb1nu1*|clKXSBTyPa4$Bj9~ViUdvZlel6q@9-0$Q;o=Y!k?x_a4$~=xsMN`TAUUlvl%Q!)zBn2YY3i?bApNJ8RGFT6f6;cXF^|*O z`5WETTT@aIPYK=OPG))O%R|yvLr=5?Ig1M$M=2M3!#%h0Nssvl&;BOvz6M-lfMyKc zeqEk$8+9Z)FFf;TzRCQbHkOVKo+wJ^@gB&u%9%xu>pl^ih!*ex!oMHWmP}Tr%ynn? z?z75Nte!Ve)CGoT(6(u-=0)w|Y)dyOJqFbo!!wf9(>lMCRww-Lx-53i2%T(HEPg}9 z!ISi-W|x64T)-V=51LW;_pOqZ4tz=4eD_a)joG6qw~dK&sAnU{=qzO|o6^Um!+>D? z$kHxfJ(d8B)T*v!W0CT#f%ToWmfLGF;IT6`hitxP%K<}t7l52v>PJw+aH?TnMQSy9 zJ{PGJ!yiml2hV`#2Bo9ur-nW)cqyD$-@du39_1I?I*(JeEBrcH%u;=blY0sA(a>ls z^3Oy;FNZR|*v>4-9%}vpP_C-J%7>xzrpB@+_js`S;DU5ldZ)%r{1m zMlD-MAA*%&lZ)y)yALpXv{IHELax*5EC<5koFw(ptVAqfa3*a6tAZ#IE0U{r*L+o>L>GS!hZryv zLHtk_(brKuf>{LeH@_=d+zKol7{h6|1Q~Z!*%z);IoxSuP_!cy-(A+qlg;U+QVddu z6vtbn^C4^}eO{`WK`B?P&<}^R5khPHd_|U)BEReRDZV2mXK?I`3?G3EBji+Mfbd;f z-Q}DQS2I{own6M~M2d@4-f76U1K7_~MH>XBPIN55p+qy7EJ2j^_pvF7NCZYULwu|x zAm5{QEHZ;8wqAQtRX0QZU2lPA_Z^Ok>h}0&s+3$w1~%Ax*2|PGu1|kC9e|fNLy3WzPamWADR0*+=CEE^e#74k3s1jT+3%q zMyvd?1HVL-^xBj8oR=L2~Ty3re+0HIo^Y80^DwcvN?> z8)BJjLL>bD^WNadN7e~<%RsRsm#A1)5!KsrH3A{~l2wCgH~+6uQX^&qT?Q$koIfev z`HZKmY_I=>@VbZIEiLQBm(H&C{L$MwJ=YEW4hNBCp2{8+{3`P^_DZxXV9(nrgNR4z z#GvvX%GPgcD?adfc}2Fd?{}lECHL3Wm*#S_;$CN$1BKe+Uv5#su&a<^Ng)czE_h+LMzEDwX-FT(D%3vLMDR%z*d}L`Qb3<@`QDPbYUT>G=id-?a zfHf!FhK3>}5pRa_C`gb?tXc?P|DbtwkxG{n&CD{abmlC+em z3Sj;Wj3r5C3M2%F!2sZE&j5`||Ib_D>n?$5JdeR7g6z_na2L% z^M&%zphwJ$DA+yM%W-R^nUT@CkmXCm`>$Vmdp&JEO>!jnjiN2ndOdeNR}xPo#Z!D_ zPd-j_YSmD`G>+csqSWNbC7Ky{%~v3EleK4Nv#D&dF&LOG`NSk+HzV)%oom85gtmtP zgHXAnFzA^ewt&~H=LNV#%6e(TA)tD9(Q^k@ ztK4UHLi(5o16g~1pn?zb=5KouKXr-^dHMkV{@PAVB;X+r_4i``P3W8hnEqd&$mv9G z$gg&;^TCV^KRvE%B_)RCFC4OWZ=!x7^43jx&hEi@lT*s9Rl<`>(KCH1bFAuz<{75aG*FqE}e46urvfHU765Vzyf z80g8HVWlFLcRAK2Jdxq7wIcfcv9&7S_RY5l06y(pfEoGh&N$jmz5BPzyCD`^0f3Ut zZ%5R+d>6kteaX{#Gq0aFMTj%0n|b^RzJ<3T5$ooreOJrjJ&5Ix1M`%j&dx-xoAKjt z;TYt-D6U)RxfKu#lvR#5UCy;F8Hh}|cec>SQH6J_@7j6%@aJs*4OhVvIssNIN7SMA z9hQLxJMlIu;`0vbmhc5-T!{M04*s#B%|#7Aj69m$txVYR+)c}eyC%dy#=Ub{@O-)i4p-qR4|aZQ~}07|m2%|6(7e=hW8IJu=aSP-nl z5jmFkeEll@3fC$?D@Zvw2BcwX%>=N z8^-oO-2YShvaa<-KI0*ruuh>bO?i(=-U<|SnDXIi2%V>TSkAl;=d^?i70wb{OpkuR z-%!{}Q{H0EVDJn^{ zS0`Pn12KN@5Ory~)_Z@D*p=63=dlu>cjXBOt@};tui~|ht-kA#CNbKY4kx!R-rUtP z|Den?Lgk$V%k>wucr#8g(ZVSC7V2=O($`cf3BGnRpKD?8rSGgxer6#rS#4)ua~W@2 zxf=~XYwWopo2c#DT$y+gk7Es7h?^()C)7+Dk?A?HC$4N5V*~y*ZFf6y@q3RffH($0 zeUcc3XkACIA3}u)^{1FP%_BQN51YQF@u-K`W19*tn%wJIb5L`UD8a5jaD$9=wiJr;;l_9b|)r}|6Tlp$I@T1+7h?2hD>bswL$#1#{5tD znj$^y#&uX<;ORVs28#~eMVS61GVEmj=-hjwymfbz^BxDeza9H3 zy>&f5NdKU>3*KX)EfGxJG4or}PD#rpz50HP_#~XX$-Lr}sd}7f!eIFJjiKiY7M-}y;w={V*MLqH4 zw(LU+O1fI$yjSqbcDT#!GQi{}QExGE$6_3JBVlk%ZTOgMwNI8bnDNC^V}q$b<#|=J z%30C^{*Cp@9XLLa-FB$}C8+5>D9&wNJoGb~pYDV+sDv)?iBhn59q<9pR1vHYL15?9 zOR)XqEuQYNEFa~{jA?&x&YXej6J-(uv8M^_X5aheEz@9?WOLy-@0J7#`KMt4FJDWh zGw?l$Fic;mZL7xK%M7!p^wt%C&2T*^IVu_?wc&q@qy+4}N7lt~I7|LDE^?$X^(Fw+ zm;mJqHrGi2HFJ?kKP++W=Vdh)v(27;(trEUc=W$gcr-qN8*}1Q*LIegrT$X*>MH#c ziK*nRl5pcvu&TqP%ONj+@JbKsekQRq0m5V4g(pi)Xq(VMQ@W}nRxYOd?SD#}H;&9$ zaS52deOW?3M_=BKnl+05f=-nj{zj{E;Oi%*|66_j)iC;k?aM!96^l9@$wWOT6yoqtC-2xdt}pY!uImdu`sgbg$TQS|Bc@ajm>kP4QK)jHHLm({yP_ znAllcr0&FY|Cr?eo)87h9i1gw#1U+qouL|B1fWv)4eqBH7y58SgZZ!|2w37}9G({U z81?5>%&LOBNP0IB;HQh;yVt0<*Rj9eRAt#qn5;qQJ`>kyn$Jnbu>$gEcRFnha4>A* z1r&hg73n6OsN=wJqcm@G)Cg^e`2H0D!>~9m6 z1~sK~9m7EtW!S~TNF~@>BR8?{#fS{RttlX0Hv%Cg6!44Rn-%Q3uVAcOl)wW@I#e7nWMrld)W>vqV#JskB048>zadmD&W% zSJdkTU@20Yf$(;L=V7rqa_(^@{bPn^Pl*-Z4gY`{t<*XUF!U6%iWdw1`81!oJh1!A zrryPznCGhO=w63d>|RdwOt9SSWDlP_=VzWuWVYj!=Om#UDv1ur#mfj*UIezc-v2~R zCrU-+SX=WsIJLNn26jB=)f`^7{1(h+x`K70(zL}_6t6o^Ge^FRrTh4Pk#UhWmy+H? zqKqDadZc?c;2WocOESF@6~FXe=f~NHh=%4`KXR|Uo7A!{ zbtqvAsa_=??*1!dEu$EEJ`)~2J?gq1(2Z^h?l1JLnfE?m9W|czuiAp!U=hmNbJyiU zg=)o8Z$sXp&${k~XISH6`TppE?hAfyfyPd(zh4pU_`vd+uCkWT%bg>aqmSRiFe(Oo z;_dpqVvWObX={%d4*b(AvbLtb7TuUvxKz~nM7iAmNTDlGniKxiOfwQ50%3`K`CaC|+sb3Hx8JlVrkJ~~ zjAM!-ExZ?CXLs*3nRjtXhfDY=yz>HBf%~*w@QPO0p5cb}pI4A2o_sDr2OKJtpOS(P z9>fo%$5wTD7gNf_5A87H?6Y5t>510YTZtK@T4!DV(tE?C%Z47Y>&$EKoEIwVgtfa4 zEC0lrgwK;>Xy9bVqbBTYjSB~2u%+avdACXln(S-~D%yKcxm0-@?_@u** z?HcA#r;w+dTDIkW5|Y!jo1Av(n!5B_d%96>fm#^9YUpZZZoe-)iT-13Kwud6(z`pi zM|o@R>;{>gG%PT;{`ioCYtXf(u~I7NGY^x6fx7o1ZoOuo$~QTyMb_Yc5|*jhYbv^I zY}w|C?zC{e^Ii`hTWhU<9vqzMbQRYhCJnG#1BCheU1heNKc2|U<1o8Jg6zoti*Uh+_ zi@eo4E%!n7{YA&_P2MNXwHL*?#HaWU>yeb`K~t{6=j=4{1hwTECJG<}U~4Y?Ae*!G znRK>&;9li~d~W`yHOH2>`K0g!!glJubLt$@KX^We$O*Z<69BE06I)$}!?@`bf z)C6rFIstg54TjRL-V{Mi7-Q+X|6djW+|xGxCcfxLtx>?rsE}5cnYiL&%&qL)#KF%_ zXUT42fz1cm^X1P=*PE2u*R}6@mNvtO;Ll^4grl7(UhLz3Kl3B|hH;uzw%&wF{5&Z1 zV}4hLJnYDA!&7Cwc+_R-m!)AzdFvt3+vlP_`=@k&W%H9|e0?;ZDhu3s>x>{NXc+Z< zk0HjAX}O#a&%{p_tBUG-ANdUIMl#(esPqIsZW$Tz6xr4wiB`lwQGMqk#%4w`=B4Ye zhAZ1EUp%P6b#m$hO8q&!4Gkg-fPv=0?blE3^%ikyb|`Y(ly}+1DDe=k(?>>LMlLFe z^}YHW?ct*CKL65v-Kc;Dkm=r0h?X#VUHW1S!lo7c^MsanA0tCR*I)ZtSHs^5=RR$& zRJB)Sr!`O3)M3ou)&7}Q9s5?=W>m^75PYk#i>V^L6fAMkw+-X|h_j*^X!T9{Fnlto z%f2oj7car+t^Y@OdrkPY75H6Gv(g`!g!LgTpadtgNu2Sx>ma@St2!Q0)7zaq2+_CX z-9Lf!depHe2D}?hlRoKqi_}3FGX#djfISejlt6*<{T`HDEXFA5^|NMBj~FjDj6?L} zjrm5CjwPSS5&p&{_b0HfJG1>;fQ&-lS)+dT$k>-<8iH@tE%L2E2JuX}a#p;Un5l(* z&8hpyd$2Kc;bAv}I_-OIw_g59VCE?6r=NkPI9PjaDOBx1r4U29U&9CP_w7ONsJwDf zgux{#rvm>_@SD)onKO0xds^l;>!ZJFQ$7#4e>BIEb`Jbw6r-R+S319oerUx%^?2n1 zUO{bXmW7s1`dODsg?-Nh`|SClw#m|OQFltu^1^qXbAsMK+(RGMyANu6XFE~FD0Iv4 zBv4jj$4HXJANa;c=Gk(jd*bKZ%jJTJ<~)K!DXEhaW{3QoO)79A&&@}RD6?OyT0}gW z2U#nN(&Hn#lkLwn@2f^q$j}i-)MnHE?YtoM_tVMVBE%Wk|2<~O%*__K$TSH_5j;b! z70)4^Z|K0GZN|tfz!?xIIJV0N1;-dE;-2?ot&?=!5hN{3(AXv;MWDi&q!DBLW1<}Q zTDUPwK=YOXd0gJwU5{%_++6Lur!O^p6?eGj<0^S#e-VJsiQ}>pRLKAe2ewQImPv({ z;sM*d;Sq5x;v9;_0~{cp2WocCAO%~!fc$LP)~^%HqWERfpIwE3HDD|5I5h-L8x#_2X zi7(wNlHXvd<1)26&OmJbf|fAen{)=Sb=wkM)uU6s#5MlA?8{J2H6u5T?I(FAh@hVTHfDZQE{{4BEMwTHKSn^mG zcHzrs-=03M4kxr;7Rh3rMVDH-_k1j)8trnm6}ifQrJ88oeayM;ynZZ_&fuuS8u0n2 zlzxRBI(15uG%voKG#8CEzHA;eqx$Sx^14di^@GUOFwkJ{%R2J@K5rU@J~L-tc4Nzkxs;~R==tB|doNs* z?mT6dfakay`|O1L3IH^s=6P$!-j)z^7^4e7HBU!YG*%oxW>6mg@cZtad=biM3ce}D zuUGpow79AGzu4LT^|@lJ5{|5IHGmfwkv*`hE8|uY5M;_*y4^FiR2^$SJj@F!c6Jp~ zZUS^N_~~TlX9h#9atkhmYWleSt)!3}|8#GxmrZ7D`?V8`*~0Tr7pmtJmw|AegO{Yv zaVnPVws8ye5K_#cr{N(*7}Vo`X+&+CHO;NJXuK63)ePELGb~kdejB44`sYUkLSk|! z6;X23-(%c3^a@I44c0r6M@9Rcs(qP(azThM=2LMJ+^~1sKHIpy|D$Z#6i?vKSVEF~ zl4T?Djs_E}x0u%sy}CR`W!VlGOBwB!`WP1gJ_}Q)9&K@)nlo-b$srdHDS9l7w7lRs zd}fjT#<8ds%nRm&{;BLLWabaPK+d`_=AC?+=K3?lj@v(7OqriX>*tXiLUS(8u>zek zh?wWDK(CH#67LA(2@E|B=3S5Ajg5DcZq4)U8;PR!H>))-h|=UCiYxf^h+k$l4w>3# z_Wg-ed$l|mWWn6u*Z_cgqL=TG5I*%MZviEix>qYz&Z~u8*3~}f)qk2N?-M49RaP;b zo{+2=#b|{oT$((5p4S;!01MeGC8Q2Y=~QAUZ0+&;MZ( zKNQQMF7TYm_majO#2$54L^1s$#QiOl)aiIDPH1zTEZR`#ieU(pe4K7+!mp}dGEux8 zcX9s#VdtR_sh%ywcu@-VHW}#GSFkU6i3zI@+?{5-{|Af>VyV;lC{?OV3TK6)C%c=I z@L7GVapQ=Uq5px6x&H??w)RW+nm~Toc2eBDe#o8+n&D=BEuJ{hd24!caY<@h7iBJ^ z#)B{ZU$*g^-|{61YzI>_PUg8 zH^Js52FDmj^;)`dj)7*9ShQ|63WQe(S8Y)zUetAbPQ3@dXeOAu=rZ`B+S3+JJ8_s9 z>2*pa`D$x^ULt|SP>X6+msP9K)Q6~hNaXc_Ar|gHPOiBov%eVN5*EYKp#jfd$G^EYij7JSqTFpt4BiJ@XyJF6%u-lXU7HJYc%G=z1G8}& zniEOzGL>)-uY|BHzym7EbP7s+(qGk;aN{Ac;CTKMvFwNs=$>_~)V+*Tw&ebU!-*G1 zunr4H`yb#IaFOG-cL5~MwCWeBhfE&Hpc)`epz}VLH!V!Z4mS^RN$H#xO(gHQYja1G@ zn>{vO5Roxk#%foQbTg?1IMn>6A+ul=ELRMOk`xa{cXVszzo0rB%T0d4LET>o%(uxC z$n*TQ)00&?zBzl`Gbf5i)NV)xg3Pveer3Tn%;Li?L=S_s7NMCc`?_AI*oc{$bVNNC&)^b=T_ zJnGu@$d@zX+&kdT-Nn~zviq$0ga{-;N$?Gyz{eGGtG9K<=8$bk8R1aK)q>(oLw&HCp_JF~O|b05ep zj;}ae;F~ThsgzomiyzGi4S#GmZor!9k6ze0nw>ySSQv{ zAo4uK;O7@qS~k{QQfcn%bk<{UAi5?05zc&01ekrjT;0Rh{KN$KSI*bKUH&u&EEZdE zz8poqknq9&pe{fY)TwS4?Ohd7g;>Y*kINi!EAJ*{})I5dyZHD0*RYX-+jP2pDWf&AN9$%jvi7G12eS;?D zzWhJ@T!Y}1%U7h2>=k>n7FOo2F><#ko>CP0=qvQM!z18S9f0;c2r0NbHs&I*mjN0-pj)OEnjPPd9?kW~FShNTV-b z*Ip+apI3dyR^N$bX`g+;)Ji$!c?%I}J36d`hnw!)7~@(NQ@`J{lPd~fpl@C|=+kR6 z9sc5he2Mi6OBWZi8SjE83nv4-e=4DUZ6iylRC>b53kRVogFCJ7Ym>E0=J`eDZ$p+7 z5l(SI>pUre`BuHQO!=eKbFEr*=2rHI=-X9CJjiC-~+l&1{ zg2^oul67CIOY)X3*yukyn-g;aV@(2@-x7T|8$IbXj9Ju!5*amEUs}A*+-3(xrYn;-IXqUUunn}7VsHek88A6ttmz(93IrkyX} zz?(seQ*FF*YJc9z_H3&2P?f{`UwXBU$Y<)m&+vsmlE8;GP79h?I|M_!!1dgdjtA6#tf(`FCHZx~aQKby;AwTK=DT*-6D>4V< zJAo5)KYr4cKWNIoN({gvFOg8uPpi(6q1ecRoV+lLH06rcf8&lYI9JH;DH%fQv_IM4 zi#D>i66mFkJN=E38%W1DDj342&(BK7ibil(BCz_~6S~q!$X@1j_Xp_>@m|(iK?`Rx z(s5g-dx8rjPK%00rYb ztwQAJNB-TS9U2E3&oNSW5kf*4V6TU;Q_j?B(ju_UIjH@mDCxD>=UF z6A?<%>%>Fnim&KeCjQNEEdR?Vv|Bb>xPrks;bF$!nA1g3L6H+ZsqpTcNUY)1Yd)&Al94@x=`UuW^9Jhb&I6vNY-Noq+Aq%lh*)}NLd8ei@Ki4P@+_=ieWP{{1U-2C8G2*i`r~ClG*>gsU9`5#W$ft z=X*>AH?dD!ls$7tBOY9qUtv-qM>r0WXim@%1!`o7FNtF902f+}a*P==XlBPAHXO}5 zcQx$%V`pD=eOJKt>*tE|Y&{OnW^5Rq{$il8!cA3`#RqB(f=}AaRC@rznL91#wxJ{PE@3pFP<}ix)MV^dNBdmya6f;w7vg7Mu3O0i*ksS6XZ@fu zj|((@JbJLf&Y{1nSkhQ{e@wkHg{hbuf^1k15e(@L!(Fywdy?Y%C?nv{J20bRmUyXE zYyujxv)Ic9OMK`Tnm4Y(Mn^}iRZ%xJ)kbNsEc~w0Kb^>Z#j~(Jri{&~Z60f^6MC}E zM$xph9g=cK>kl%pr}jo8r)nm5+>6$VfX|R1HM&#VVfX*2W}EU}(iVD~?5JE3@!R*X zh3z5q#3ViA@zVOywWZKyHktH$;- zjcJ`z51%J58}gDpSCY1Wn9D=>aHUEdnXjp!zEQbyxHGGP=+$Ce*GxvE;|mI2B&=9B z+j~fImw?xBDC+vbZ4sq{4_V8&foM^$1W^vh`zrh^*;B(8^$lJOj0}w4Qr3HA3aE-O zPbHHMi4u5jK9v*;^7Z*Smo;gH>sm~Jj#}^h>3nZ-R%e3A4Qu6hZWyvM1=fi!$E=d9sC`^?lbrp9Rjzc5Qw0A3X5o`f%*d=1gpC9Wy3NiqafH9=P@ z=~xZEvib>PO>%nSLv3t(e+>`;rtk0Ga_4i^Rj8dT!q|&Pntv1Ac*s}y*K{SJImClK zy_&j#hBxw1q?k)2dYU$b=NZbY1sULFhaFKqHg_6(C_Vp6Hg#?*XYiM(XMBLmDNDH2 zL(RZK?NzsmElzOQ6B+jR=#pV?fuRM~xP4Mk%63J{_-wxW+@);zEcTOYlPCDv7Xv{p zMLwXah5qj=VRy>r1f=vUNb37B8S1;F$E8qXWz3ixo-xWl*dT--!Adf8C(^< zHP1Q0?+`8o1sJr%n7-5emhC400z2w8?3dZkp|untT8l_woiG@e$q2Uy^SkTFhP-bM{H-PBq`VLBtS;<`zLfLri6E-3Ea3-($ChR~xDeKF z8*jdP;o5s73lzB3HjGVLU>f~Y_*fao3o;Nu#W?IW5Gh2Wx-Cf?(*;QG0y8&_? zw}n`NbXPKNxSl7UC2Suj5o9RKOX^O{AlHsXWm1HRT84n^$xprMhsO|P=xupKgdnlhMuI!2YvTL*VHYm~9( zjLzVBxUXqd14pmZ)yG@K1*st#IIq;(f*Ua%I~kidP0_3X z%MexX__dOX1l1}BPPHn>{=?`(uA@G|8R`BSbhrs(#oRVcK6|WUG!s{a-H`G`{dc`s zOLg0s++_RhE=j|CA=|e}oJoYEEl=LOwl&*kOFNzyGHO0@sVEfl$z+^~n0(DpfyU z^gpHDwToCN-RwF~pscm(>MgQIk+_Bwhzj~TlolZO>{#ge@XSiAx9&`<-bfHzV=%WZ z)>p?U7scNDj-CR;r7rfKdjIYvXq{E;eXAc~{UOR)Aby8N`QMM4tGWJf!>$zFiYR<_{7{EB>%QTn4#bTullLUO5*2?k*p@{o+rFasW5b zfc)XJTE)JF+*9XSe=kae&Uzls7Du`s{~D|d-a=(N5sMyVwqB^C=t}x=0y#Nvc>s5k z3xLpHk^T_@1A1)G%pR4>DLc0)64r-dA8?r7LuE!eX*YWHl|7GdskNf=tSAdsG_bS9CCsA^3-B6K4w(D&b(^F9S0I1&rAdvIe|y;42vwD-W^8({Il=ZRoXSXR3Vs_%xDIzblzFzU4Emaew>e$=0&| zxyw|0*fu*HIs0kw(}FTzND*a7HaMK|HgQu$?Hn4;PSqs~m$i~YCS z;a5XQ6=vd)AN;13rFU;9HVyg?hWmav`74$OOMZ4ckLSP8W-?M3S&vkdPF5V#x3s`; zq%lhPkfM5AxU{1jDKEmfZc{3WaW}+~_B9wwN<-D|)xIwS(cFqyv z3XV>-$P9LIBjTp|h};NGdfeCjZZ}Nl@$gcNdEB^^uhqMqYA0shXN#Pu#Co~F@LvF& z?+^C73aM}pz+#M|Pw0!6h1N%!fhNkklOLIUN;`m3;);F>FG4-EBem~kYmr9FKKGr^ z@>;; zMT1k^9g16UhZHMrMT!@fgd`{Z-}k+qXJ(z5GwZCGdBck&?7d%H8}`ocyFXX5ZBHKV zM@{Z~9@V{9D7u;)e}rl2UvzbnlI75-;Wmn{-hSN1_;0#eBWgk@!+{b-SBuf6S^nE0 zW=kZ0?fwmeVR%GJYwybeQ`P%0}hDrbSwaaC#vnTlRP`);Gf^x&Zd~K$b zk=W$6A(U-AgyyFBkFPB|Na{oMO{RQJj(_o?a@Aj6SqKw*ck`BnlCi5?q+QSpcdgcf zw-t#z9HN-`e3$Y1iay3jaW_&4a`PsQ-J10X)kTXBT2oZ(M%p~&wVjMEzPTB^tdBWZ zcgk|wP!>sPGgNZey(VnigN{bfG{f2XT`nRO@xo7dzq7$ach!BgqN<)6&&AS!gGF1M zk~PaC_6A+bA!8Cax{mWTbvVtgJ;YQ?rT&6+fq;5S2w2TNJoSQ?==tfXLzAq|P8N+w zp*IgSV?5MG>m7jv_1stlrPMKU5LZyao=?O(+?j2feOTS?HGm*%WEHWa*|#Mhx-B@} zn==RWpAne$0oCvDq&{3Y+0I=e8eqre6zOItT<@~FY>p=6^hat@o}-EP`7ZWT8`T|+ zCuvO(BQLb;SVn`rG69y_XFDGOLEZE!=N0JQWUXH9GF%UqlB|)bAN+Zns!$1~D<;y& z`1s~N`A3A7+7B_e5~rmJg*({p7A?WNE%gd1rslig1`L{5P+{*;dhGKZnL0{?bI%l^MVcV5nhrXO^msa6FZyQ3^>oNyo{G-;6^X^r zt|X2343s_Z@iFSeEc8DuB->$`MS9a{;n0>JvRvni@X3&yvVy6U95G|WKMjW(z$DUN zhW+a8o?Dh+=@Wv26E*m2h6kSt*O&>{FlEi|d{N|NqTpVFmHl5wlPY8`H#IP;%IjdU zK(!nhF?9YgdoN>76E9Jx4+S!H`Ql8!6_=!LaIg@vs|qX=`v;iq1pd` z$+tvB5n+Za&w>!jkN1}39R0T+uSL)Me?AFWicjGf0Oa<4Klt1L|CznpfL&QJ6F`iJ*g>rZ)9f0DcYM>Y09sm9*_ zO*K|VdD*cj)mZ31s&P9C)E4`1s&O%j#~wnd#+3h7jX8}_es<1(Q;la(B=}lb*-egrJX9yE%mm3k5BXKQyT5-!vzG6S{CV|AZy$H)l3 zf@>|kc?l$h$%C9_d4o=u(q!yJg=z{`1FEh2h&LWg=f+`0wM_ss%qWmc)pLtx@Pnk# zuZUyyUqf|WfDW(*czNgdd1)bnB7)Gjm8fu9?;Dzu{Q~px_+!p2+!HRm!%>RBpSxEj zl5EJglzvz*NQ26Q5ZSuF-Y_U+%Drd8 zu}rdTx6ui;qg0yZl}S1UVO}Nay5W!p8$~~3r`fW^4C7d0@{)IRL|Pgde`}hJUWBwHM04-UktuHZpKu%eD;D3vptTtK0wnWu`4gl z#J3q2J(>4w#-BbwV#sPGlH>EM^CV`bV|AtER2G?N1lJ>stcy|xdID%`pp0UE=xFg| zdF~29G-XB(d?GicCn3emi|O)N7}=cQ5U&@N%5=h}$biQI3R!o3E%xj`R`x%sDy{O0 zG}ViLdpcB~$}H+_fK>gNP;r$XMX3~qseG4$=utXAO$X6dWaljgWI&ZyF);A#U2bY- zg(=M2|Hibnv_AJg5d1%(LP78v|FN|HNgWD;r~mJ{T@_qX{y!W73WAUN5BUAhF>Y9L zk^J`{pXwdI{*T}NPtpUD`3G^V>h{Mj7qCNmSk7Vz6b^q`eE!1P(fMT*3V^aWHurV9-8eajHG_l?buVzqRS@l0#C|@w>S+ z=hBFnR6MA0hs0)J+um#Of>+K9)>8Sh(9k?9Zugz`wdOyev_urJpt?^97Fpeo zI}h?w!V*)nJ|NF-;q;lA@1gA~O`3 zv7o$J)SqGB$GLY+-fYxR-^*W{c$a_V(efI{ea1qFN2O=WD)4) zKjrET%fo6itqLAN3}(>|R@{yj^^_>Ecv3+`eO^dnzmz6S1`RzE5ZeYXzatNvr)RW0)zxigSg zoLsz)?Bu?eL`NyI-hcbk$59e-e7c>Mh8V#a6Z-g!S@qoVSTxa2oxj^%B$R)D7dW1K zK_~uSFQl)09`;_jLOOzNySAGC*-t8JKb`QEo7~mQ+ZsaqLCD3$lTMud(67)-&RV5< zRyB$SFZ>hmJKsi(FfSh`8CRC%Y9_^|Ko5Lsu`*_tLr8xvaeq%G^OiL<7Xn_#$=e4s)%XI5IJ!$4G>q&MH^W_7nWv5Yf6uw)i0nEkilBYQFBzTFsx%g?t%_FpaKN)*$-j5Fn zQur_xTLMo^8=xDPw5wjMp5r?q6QwkST)q}y?8Th7Q1_-JIi^{uB$pX%Sw5c;{L1m6 zF+eG4IF{Qut{8}ocv)Q!A^fQ?Dt^iN+i4~S9>x>^dncf+b8+1#?6kr)aPwvvq|iF= zHnpDnr)x+Fk9{Mw3c|6aucuE*yc<<2(zO4$fKthtjQBK3CW^{yzUe!Y@G*^8IG zZjA2dJ;yPRc2`q=j;0maol;4>uJ>s#m5Bn#-)I!KV>f(uoWaIkGWqeI{~FBJ0YaVv zi;&FUk5}@wj*TK!Tz@J_p-GuE$gqCcz}V@S8?~D(!lYcu=YXpmUdu^0EFlS!-x~zx zFJF+#G$GpgSv6JF%v1vMrd;bGJ@0j33;SC#aB>lk=wqQ*o<2476_ zs|GUA!i3R|g2V3C(u&lwcGV#kkb$xG?t^}bH(>!&X|7)5*opSJRW`<(o+XJywy!dn z5;Ptw!$>PweK28XZC7;oiT-)*J;mk<)f5$QYI$?s(-+G3z{MLMYIaK``)x|@XUpXF zQn(K_ivzxGbT9%qJN7aXTAM7{Q`Pgh2#*|RzSx4 z7Hpsit4}Hko!G~IhigtuU1W&S9$d8PAzCoPg)0||H2)C|;i;@M|XFrK5 z?{?mIkgL7blBH4bv2ZAO60k>J{`V!_pO$5j5LusXLnY%4iAeT&Aqq@3%ag4Q%rft;f~nh>*?!pMBq~k)y`WSHkKRWUcT>^I zgNQMtWZMaDk7eSJOk?=&WR^+B`ygdpr}|GP)0PeltT!t#o+xX$4%DnSeEl6UrHeCc zi)3)y554`A*7s+fk^z)vLJH>Na^RNfjA1H&V!UObC@wP;$SXl@6ve;{9Vg{GBdOC6 zNLw7V=23F~@u_mZ`?A8}(7p5xGGD%NXCAx`bxlN28Kl3R!P)u@SvqC&A!3F&Sl;}A za9ivK*-OU4V~ou!jm%C)>@_K!+(KskWO+d&{mEXuFYP@xUwCPfXb;tc9UK;c(53~B zmexFH>A@Bo3N(>j8RJJc;L#GXnX#7m{XoU*XLb6=-=hfbp1}(rxB_lFkAP89&Bys6 zd7xR*mMD{OAwj}-T=u+7$DDSvbia%YnizG0sFcRPyit0J+fN@yra)fsOcB-B3k|ay zcW%=N+`JOBHRh-SF@fe8-}6g>qZm6kXLIZ8W-6E0NT}`Sy{@ZswtszYCFV9osSUg6 zsglE+c*`WqZ_@4-KkukN0g+$2FwP@(-$_r{MxSD7O_P~B2Z4?zXy zI5^Ba(Oq{QSsd^cy_BV8*OnwD7{g(txi*37*yLVV$(>id7)aE%#2^r78p&7C zMweVs&EGyF6k>kr@>L~=SVQ49;>00*<|bew<|EvR;R&?ATfjtmNbhl0AYJPwRvvRG zV;()4%UYuXx80TEOq zm=k8_%Pz7wLjL+%ErW7vLtc-6b5*A!{=Z9Ju8$m>@a(6d6n=8H0{p8H{tv+tm$6!I zC2O(l4u6klKk@(VQ^KNVI6QKKp%=j3wUK*A^>HYlc!?Lps}*2~x~#HJTS#5y@Httk zjzYt@!A_O&xv+e$vRXU!)=?*(b<2KR_Y3IcG5K>J2 z``YBRNUuo{TlY|fQKr4~8*C$xs=qhcQjpidH?Iy8e=97;RsBXg%i?x{VYhP#9$)8? z{8|l!toVu}xl21H_2g(<^y%?HKA(iZhFLPr`r)UIypNtYV__fJIwpd2!cQJW0U4Af zNxJ<#h3ZuK(q!V#s_9ev5H%`WK@!Ndg|9~Ef-Y_f(G0z3<*iSeAxVbET;qv@cFWTF z*Pp`#?jMV_bES1I56tcMFvLG!SlTFb=OPaRz?VPA8ljrkiF^d{iitVTRlacmKC2EF zJzwt9Goczo=sD4c!asfyz4_E`Ym~=BVoYp=zdcS_8_DO2rK4kri3FDL* z49uiddiMD>T31A&NfA8%Y{KJjSe8(}c1TBZaA^cA=Vm*QtbQj&+M2hBddrcfICS+J zS;Wi~$9>?H%&4V1R1vGn z=`@a&4?_5tChe2>n2RjMncwPIEeH4#CXw`LDbV43*-&Sel^>JpnH@~e{5N}~9y^4n z_>|l~nPn+AeKs*uaU3gafis za>QKYkC~2dtoi#Yxp9ghIkPQcSX-NsX^%@;Y?@?=@2S%4h$yuCUIaKO+ymfO+s(i~ z5+^!rzHv5*^!~?YlLTW3Jkj^B7LFcA!kym?zn|ued;LK{ey`2VaUibPrO`h-oEUI< z=mI64;yTONy@%kuV$lm0@NjDC37CwFVzF187*Kmc2!m`Bm`}>Syeuu5w7M;DP z`91qD;-pPxOhFGd7xtQF4>q4RgYvzja+1P;yMoxJc=N-Dzt<2LsezCi_Y&SC;4Tol zG2t`3W#ZMjf2ri-P*K!y(I8+{0B>>DhOtq0c-3xCha`5Zcl$J6vIS0IHkl^oj^yXd zzP;1&_@3&ObZqlo_+!oui|2j?!TWFA7D^+%J|0)5xY4#hlna)f)%$#&Ri+QosP}y( zJTVa$fJDADt7O}CtqJp{g#tf*K(bH1wgv%{u3E>IIP#M829$3H>#g^xck0A zyq8evUTqkg!A4^@m$=JwXsL6ASeTuk=L&7Pn?YFGE*HUN*iWNza-B2~UcXg|3fe-6 z@2)fE*P%yTijJ)m-B>!CxXTeH!E14tr#8>z`=`a6ke6?1xd~qxkW}>~6mB2_1TMYO zlnF(f+XCjp{?wGPLOmBs)-&O?c2ii*Z8an0lKr~9Sm|Ju$?@9tB*;dyd5QfEYIhy~ z?5?Fm#b-Z15ER*%Clw^zdRDcyRA3sR;p#7Ahqry{w!irX{g3GRye#X_KVbAP@7{$t zOA74v4g_T8TlS<2#^!VCG3jez>xN@Jy`B+EY5REEit9N#wusWWd9-ND()P+HQ0Gas z5A1W-pn6&rRq?wf7ppQ!b*@Rx4CE*rUv%{he6y%4?!D4}maOEEHhX(=4G&mJRvUg) zIC15Pw<}Y?TR7ws0rgTvbMvToV1wiu3Gw+#dTYKahIH3t$P|kg4u$k9pYEu;O+@rf zHNXzn{AxAq#h{rdZQI-KT6H7Vw4U7B^0rDxlWx8%@@Tdl*dv zyfU!LorUhb&eFA}vf-|}IZ{IS%RUlBDMexY0U`U5a2k1{lV6!SH7=p6+6t$pzG^`h7$!m<(}4$!px2e!&?0ie4_oF z0veg8+4c00D51EerjM%>jlRey#Q-}re11c=0{gYD#GipT$|f4`N1->m2zgahX?0)Z6|3>NCT*Ar$c^~QpQ5DQ* zo|zc2Um#N2hGI8kx+ALYqvT4K#B3Xn1nNIyPNjn7sTsppRUrhQKD-fAFSSIaYgQ4r z?c0B;@LZG0owIFCtN&C9{8JrZm$UP2h304KEH7IvDwDd&n9opq9fjdl0EI!TzQTxU z{K}k4EW@%`LMYy@$34D1YM}=3TfN!r8&jbP)mbIFYaFy!$vk;fz8y2lNF>nV=4KVyxKu3Wjv?JgPnGW zz@X1Y_xc;6{?WSZ879)Fgp7CRgPSM;{a3(n#BM=2dS=uT2`&lk`4 zIlZK+=PN@Fa=)th$EB?qSJzmO1t@vMtHYO-vrZLW!q{K^FbAw}e{Xydfp)~fF^{wF zxn;{;gb?_gA7GWM8kc`L$-< zmi7H%I7Y_v==%n-7iys473DQ&kc`w7vdniZ_lA@Y?HxRUGy+g;%nC@f#6ax!ITx@C z{&{g_bN2ZJ5|8sT2E9BI+EU6CaB-`2zcmep^sYS(aHj~+esNQ{{NyDPwM<^*yBZ|QpO@r8+rOBxv{dCN9cUIfy8;?9qDX~y`)uQtQ!TW{{jk)mTaAMie( zvMjH4aTcd-{FjRBlR53I-c273-RMo_+G(!vP!Ehj<5eKXEHlmY*bbFH5eR7v=M9NB z7Hv(c9&X~}5s0hNqdR_wE}Ao-s%$y+Dh^A>l(w0gy1B@TvH-4Cc{;hMOLagwwhz?H z1!?PWYlXNJ!vpJX78Y*$S&^%OK9X~(r$JR&u^}^Ro~wRIrMNeSS7;m8Lxg z+0@}>xdM}^+xM_93NpX#o0vRN~1MW~DdBD>0gDpRfMxWLrNN;wcvEgEMrNeug|H8m>tT zIpXd>Za}q;FEVdPg+8l2*l-OACLO5P_}qRJ1|7d*4~MuoMveg!QCD_(VKu23VQ{}O z!sUJu^w5jp=!Gasg|8298GXWc#)Uv^$O4<=_6yYVHuc(#%4CqzR+nz2*odAeXE@RB ztB+-2ig#t_E-Zr%5gL}(VlPruIJq7FsGLWJHJKdsmhU}riX70j4ni4k$w4;q!A5%2tK^x zrkC@(`NhO|O!zsPZLDf`2Z;XX^%5n1Vx9zsT|Y?6i|9mVU$iW+(bKj)K^5NTrLMS` z6eUIMzn5P%u8a)Y!7{XI3eo@6ACRa-UBFA_>Q_zwB#GVS+dQ6q8}9A9zm~Sgz)90z zOS{Jt1;XzNtdf@R{BLjc%LR6P;ABl|-O$9`v~7n#r4&S2zg_WpB{r&|1Ini!0G8jIuIiGD8pF;!&PMGTJ6K+m#KTjOFnA{?J z_rwz9oSlVMzBMg*Vzz+y=3p_MK2l*4(sQvWo7#Mpn7SqQ3lQ9@{muS z4u)e~7nT|st7Y3_iVJPLx^Bp6Be2PO(T_W+RjOS^O-&?C3~9A-Nl0IT?8TUcSC*EK zKgx2D$yxxj^F0H;RpP>XDOwvP0G$!;Y<^ zjl8}ytq%ysG=ML8QZ(I$Z;XtNTV_(vpATL|UsyJ=YYttYVd;Y_f2hNz>i=Bq%8 zikWdcEyf2Pq+uMhm&q1|;$X~#%P4}AQhl@FF!GXDbnc1k6?N zaKeX{1hTYWgFX7f>(T&m1bj53H$E2+gNE;M1mW+Sngx$frEeJD$y$x!Rl8~JZJR%# zvM5HPy7m|WAA%x37*e9D**uLB{ldgSz!bwDFDN`~ub()+5_u90RAgXT+t7FxC z!!yrg>MnXs>ejsxcm;O#=_L{wOuXs(lD8A~{xTfBL#VOYWG1?u_DQF>p}b1j32+)F zTR*k=ysk}vk5>e)Q*XjE{PxY$<*qA0DP2pjg$$N*Es~VK4t>#(V%ebf!D$OL{n-cx z8Q+-i>4XD+z&ElkT+~uF07%X|pC1y)p=HqT@jy36Xb9JoKZ1Hj5cCu^ujfPkArN7^ z8Qjjy7tizok5Y_wxoBmVA8-l%yrxdm6v(FTqSF3z|6jO&Tntp^Pc}sTXT;C;PEP zMb>GKLnEwmCZfp>@6m7&f&WDKDH09;(rI^K*gPoSnXO50%6`JzaI{5d!p)XeanvNS zU$*OXi$YGy_`~ZyZwoQ`)9woJ)z6R90N8|Pb1vF)$K6jt7-|X>5;tyPJ;xtShY_B_ zqN_(79H__Z+YAm<@o0wvqGgt_;Rs-Yg|Zfc$_iCO4jO8J;1r)Y%jF(^o%AN=N+DPJ zv&;s2{Ryf!dkcW3pKxsoVJ%ntpOJN4Leup+LY&`?HXzD?;mLEzmMMoJ?H-fBN5ksZ z=X00M$koqFbCx{j?ytVAsGX0qPyC3J7tLtX%%bj+yDl~js7Z0r+UnI2Vf$oUtczr{ zzEZHDc^OnME_!h9Ir>gFnCH-%Wtt4gOv@5VWlVtB)84&k@tb+g^iX0{rEL7BcmtA- zIh=?Kn@c-x2Yi*o0N><|gWVnGV2O@^)G`r};bu=6!aY8VCF+8ck2|1uH#d`xT@@dX zY1gKp4D@XD?Qacz0#PiQXj1IL+B9+|w#+a6GVh8Ro-fns7c6s`-+0uAsJ#1$M{t~a zRZNIImL-aLgHvQqb4zDOBT8p>aisqA+Z?=wFzYZDK*$>%rs!6GYxcyQAmrR z7NMc(!Yj(947+FyIarK9lq+sm6AE&Erb)lO=~(;UrJJZAw;BX844hcg|60OsntiSxN!fD)dK084;l{UfYu&D~CNtPM?0d0Pr z<1#V)aWme~@0*1vC~2jdsbR;xfVGMrm)1ltYRyFW_cT`@S-d^>eFJ*k^V4a;*u~-0 zm5dCmgEpAGbviwnvkMROc=jBZN%iHOD8G!NV}ypAXu6%OhCm$`Xn6CYPC6I8bJ0du z|LN_>C39iOy4B0!H{D&b05`5Jb#tJmP+4Uqdox%HPXzz) zH@~Lxz1mt?ai`M8MLMN>F)5?`{p|>yG$gGyMTS*8H(<|h0aH3LInrd>mA(jBX#Hvn zylp28iq0L*2d$Swiy`LrD2{LA%fa#~7kxjnjMSf;7 zk}!iu6YcdLc15k}7Cv)L;Aznb)l@iC>OSc)Gr>z!Zw?L^4HHjTB#Hx+h#A0!VmK+y z4JGH*3Co}4NFGE7{Nbrwbhc#-)Ld%P8TMa9L|&=o<&cE`iF*k<%d*6tEe; z7cm<70@&o_r+5BeLbvv$%9S)(|$41gwiI4o|klIJ(yO8 zWSJ~1Z@T(O6mC$p2&ixY^kF!^i92_+g$rtk=_85{V_%S7|MU;Z^i023%V2YC(=lMf zQ$=O-59(rTp1f+ZX*Tg9rRB-!I;w3Ulbvo?w32F00o}dJOGKmlLWefvU(qb`N8LNT z8a1t1P?aA;(fN$-|Bm?I%`lKpTrVFe+>aRqTYe%yXP8V$xcGZl!5GqJEK0>{;=`UW zf!k+V)JCY${e4;Lt0nh>1L{;^cYc=CCPfy@rz<`78Fjz$x69$=zq%X}_mmXwQ5{tL zNxg>uK7$Cpd-+^b7UazDZBvew|E}%MpG(rs8KFR)#WW|d@ifb@ww*2}Iyu?rMpD}Q3g;zMGi67=dq_}d8KZ6P_2ISX0@D0{|WLT#&3 z(#%C9k?B<49i}JVq#BmK0Cp(UQF+#ow2YYF4EI-k-7o;($GrvvVRt5X5*_b5IthP{ z4Z96zjAptbQ}Rt?9j+clZCzP(pCNT&OP%6rb!nPQZD1?69i4akii?mz!+ zAmAmjZKUPCH(TJU7%VINO+mQ*xS(R^BOcmK*Fk&`SDHKKR%o3Tbi)a9Hd%VnM2UuE z5@QJ~JQh(_m`|)YSjh<#;HIW>P%IZ<3U2)p!jTb%D-;=BUwAjN{*loCV;pzrM|;-x zl7~8Q10l82X`c_o!PQVHwtJA08 zCvP4oy_@i$S6+?pGd5_h>Q5p`)_Au(>K&Pzp=ucgo5ues!Ns=^ES~Iw)oz~C-{#`L z?%CA2o@Re_28EBPBzOnQ-jC~hex@0N(izh}x+`eCO4E4Bf^r3;I9vhH-c*3f^+obX z_twBq2}3K{^UI=9eJj-xLj3c8eZoGrNcHW+Ne55EUdb{`Rz8pA8tW7%*4ULLn=2r5 z0jQ%^-sjCN&!jUmjchTgP0{tY-n~^;Htu3o`#xStQuHzaYoIhiY5jIS*(jOKDS~II z#6Z?L6rZv#pU8~`?ills;^>j&w8tAiFq-K4AOBw9uYaFO6Az+Ojf*wV??XZ8NvZX$ znmg!kTWSMmjwox|tMX&NORW6m@|7|E`S{*7GW-y!n|p^nDeLMuqIQ2@&lZu?mgsk5 zoYu5j={vOXG`?SZEmNprb*prTTd{_^fB2F737C6YF!`6>d@QDOD|1YA%9J9ZKyUv1 z^Q+XAzl#859fg@syhK`yv-Y}AMnAeym|!GvjUN1&3ec}$KW_{M1j~tno-n(yn9a*H-mF}}nQ1&u@vT-Lhd_?$Hm3sF9IG)3<7XTBh|jUWVJss4PKzNOuXI=sv% z3)RNaX@|2ADKxognaA%NWgdZ<5s#-d!Zg0kM zeM>j99G(&;x8-;3Tt%k-d^^HI(Lb_LNrX!B zANF3y!-PXwZ~O7msH!ps?*01=dcjDVMmS8FiSQs^9JCL#_*Q$g$+RQwou)fz0`cN< ztx@>l-g_O-(eD3Vb^0VcI%BIRvfQb&Zm05ZQqDs-fff`lp8(6?4Y5mTE!-1j1mdoP zT^k}S#YC7RX1=k!Jr_0k0|d_2t{v!IuuU!QdO{JRjmV%sV=&ck8dd6t;H-R{tGSSt zDfJvNJt2ahK=HL-@^v!0ESH&)W+LaBKb3~q1E@?t0gaKDh}-Z}z^AUx$sqh3gaMML z-hicW!YcJ;bV6KGGu8FB_3Mqj1J=v;OHK2<#z`CBrM%AprN!yTl)l$iR&ou;uY7I_ z(D{5@T{s#1k1v!Sz6d1N7jB(b`48gfhcriahgNtZ=J=lYQk6VcGX6EmpYXH898IOvQb=I9m?QVRz84j=!?)L@ zVauCV1>-hg6TOMz5060{Qgc(|V&Aq9?tr@oEvenBx$joqdo+ZS?%Dyi3*x(MD}u*f z7f@0>VKZ%#!Bl5HlYB=&!z>$=hoS#~leXz9pQr+iOVh zf-`*VMAUsPXogW8mwC(9Mi zm#vT-qf~}6q1OUl4y3AQ5K;_RE<8FmvA9$Qrm!;0S$yj@uTRO_jA)QtzcsJ3mgm{P z&~@>_EivCN>zT~bAcZ|-v3w3U4X-at;2&mTLi%nkO4+Gm#^WWgj63GS*HWTwX^ zT`A;@yD>(3AI6e&!@eCWRbGiNL@=PUo@52bB(#VT~#AF}Y!s(YZ7O!GfkIA{Qd|7%*C0F}!LMjBa z+OMFpX=7p4_Y#%JjcVq^6;zYLTm1^J$@$VnkCSw^72l#J!NhbJyDV2B`ik^FFa)baI4A+7kVW@iJaDLfmTkCdEtd zs0J_>3nWoQ{!TNUIeZNV<(rN=1>6FU09ksBb zk&=#za#OS{7*EoL?DP$v7U)R&3}_h>lM9YZ_)^XUzQC~g&caKO=t)Yv|4aSJ&7p~1 zOtH|l^IXTyEdWLXA51BXsr<#)h)jS8ITa}5veBPs#cb%;9 zxkPXnGC`;2f=uLXx@{F6(=DWm+dZ6z+({uSmIx4LPlj^of$k{4zV$C)UycIoHz-l~ z{3jGXuTp_hzXdT$YGVH?^~ryg`biXLzx|i9FZy5pni8p?rynwrv54GUV{7lL$Lg>N zOx_LhbA0k@Rd`(kn`xM6iG8QeD)TPo@|}oZ+${3Io_0-M7L&%n`G19`Vtk~O=5U(dav8@lAlA_>l05`j~d|Q`#jay;)qwSXulWr9+gJ2v3E3RG)-z5#9O{D|I!l{4u?O>8!wc#q7~G0yDkHQcdi+JwvCX$g$VUC-(X&yG`aKf4SOzjK<{--G_D}A~ zUrN`rr!61F#~t=Ab=%W=bWjaQ188S*CtbI=UPNZ)wc=WZdw67$a$|9r30PRfH-6V7 z{#ZIDvosS3kxGcZpf6d*hrGakAu)GIdPuSRHQq!vUzZmqZ85F(qGhG{IAR%O7b=5! zkZ98kOHe1zlb;BAS z$dyqXOK~qzp@@>@5qqd61lPY!2pXs+1h6owGGX;^Wr7x}GQk<|y%G7}ZUyFfRJTGF z3Zx(U3)25T|FskpO%K_Wn*zrx;iq-pd<5OKrgrimHYvuSv83HClR} zsu_z77TvnWaSm}n?_!-JNvb59=FRqQR@(Qq19Zy+u6&;i2@ar^qm7Xjqn*eLo7T#2 zf&B9J=$`0fo&UVEnRJa$nx6~qt~z+ugxmrP{5gG-rgzx($3A_eN}D{=c=RpxtM@z% z1CK7OD3!#8y_WK4&N7(Nu!Jn%zp6W8-?}Ny@sxm!CH`_+P!-MFVMkxI;i}uw8V+}C zt=c1LV}R|b``+ZwIZpaM5(0^}#&vU1jzPq$CvAy!_|@f(r`$OA%$x{A2Y`t(cCc)< zGCn+}ErZPSUIgCMmgXxTx7**SEg1JnpuU#ds5W{x{rR?O>rtTWZ&P|NxF6pvt5}CM z;Yai@@HCd%nJx7=IpoD-;k*WLL$LllPBG+~GCmGocnG;kUE1V_;Dp;@ z6eboVFmUvm@DSf=aMC~M_Z>PP{JOw6?*k*hSOs2w2iw090yS5<3B{ex-A5HmEM||= zk;p4$uzF*U%Pq~Zj4nT8IsW}AV~=S5_oL_%KDe4T>CHG!EnL$(phjW7#ADR7fbq9! z7t3Q?X*Q*Z3n^jHNEOfJQvxp43b;lNamYG$cf1qFk78unguK*yRuc zOOwKWsPhd{TA0}~0M@lCTO2%gLBV2owvJOiS?f~s9rdNoP-GMLL14Pnx8R1@bKLqb zBxTJa6Y+h4tG~~eYnx1#H`rfj(Tjq{?0j-=p%~xFII1~QINr4bmTzjqs(K8H9-J~@ zF5nYIPoLUGa+cYD0 zsIZ22DbQ?^)@j{UW)08Ui>SdG`tx{0Jy37gS~G`hf&(daA0{SnD(cz55^Y-*Ob5n2 z3P%kc#|Zos20d@q&ZPJKp@;@y>vN2|79HR~`~WSa7KVvJR}r0vjcRzj89E$5+0*(u z{MLP)e*gw~NXd99?<&?4#!tyH>bLsUQixKNYo$uDG;I2^_N`<%HCnt8g*0s3zmnzQ z9j;*=FvXg28rjhO&9b~Ot&^?GZ$*x))c?FQZknJ!JS9D2`$3kEs_XO+daT}nKK8B~ zFFR1S_1re?>hh_9C9~Ytvw&nIp7mCx2>(m zn6u6e7#@(Yr7mrP8l#>5Z5tzupt9X-pn> zc51i9n)T6GciQ0Xl#U5q8%d^h{*)vgeS}l&PV;okc{Cg!J_lqC1xrcUp}+9G!5$Sl zmp#r7mGyk8@P+Fnan$$J!hWAp^&_(*Vs4q#af$;tojZe#KPbpMLa4I=nQ%nk4JiNq zhX)VR#BpshUW=G+0k8l+P+iwN*oQZ08NH$!$k6=pCfl*m!2k(I%qca^VA^Camu#c5 zk$f3pMY95h$@!aa2Gj5lkJILNKo_v-34B77&`0ZYvi8_F)Q4tCzD^PzaAaH6!IAP5JWeCFS?=5!?}1Dfs|_XmoA;N^{_<- zZvUArO>jcaevcTQfYN>T5g)Cm!_qE(BtBeOuJl%B_mGjT;>pijxG_o|Z-e{5ZFGSx zw_qN}AEwfuwG79qwNKLt*WC61_fqwIan5&~hgpi~3>N5_HSbXm>J%C|x)okw*RoZ6 zOT}UNeoj^EG!FbmE-(BPp<~0hyn9I?9FauMNja9V()E=oWqUeiBSogv8`BRu%q$@0 z6E~XgQFrpFP0r`){Ptkj5x5{{{b^B~zdO-yt7&n^CM-kZpuV5AYeVFg>e9-mW-%1w zHSBkvMAjoS8u%jMbj?Y$LuQ}IW@<6evAf)zrUj8+v;ut?B3Sx}YgFQVOzr|eeKPbo4bHIfQ$w2=%j-CiE-ERt-`PJyu|N3BH}dBIq(5GP ze$_G70XgGRE%d57pXBbdbkerBl)6)mY=@cz<%ap2hqtjwzq4fP{iN|j@<_f9fQrBw zBt50Ybv8(Bi3_eLd>8aVX?c=GM#zzUXW!8y(m_6Q_)ICXX?HeP!u1pl1^dfYJDJmy zkYcP1v!+Xl4QLTcrUL7Q6iTAB#`sY~cw&kWXqxzs`R=sNm!T&=kc#XCud{CoUp`R_ zJHt>LTHkFZ<2UAZUDgfzSbVpJ&SH; zh(~6Z@N+PG#S`a|^@MUI?DUn~1yrB=E|tb9j{G%eMmI7J-sQ486jqIHPxut*AQ3^> zyj3Dre*fbQhClLK881kx9`$H{!^>~)kij7^EAwNf+5*szGiG}NHP`3U5|17_`@^Nr z1PC!jtjqccif~7N{DPhlM-ZT_O*GVnXGIK(=^GF33a99{dAWb}6bc@~qY;PKZ54-2 zvVm8LtQQAHjafSF#uAY^PHrsxqMkZgLd)APMcn`=R7&t@c# zvhh1)aX&JAga2TmrFO>cR{`Z1j&?bLwi#U#>llg!HLafAy=4|sI?U2fGvPgrjP>-F zn|u=)G3U%0YVErW&E*a%HB3l2gFl-?KLAx#GGAf=uHymNu@*QN_>gT~AfA4vWuUI9U z5J)QV)>_rv%<+(hN2>mWLNee0=*A)gG)!JV|N80%Sx_~aXoa38XP_RM=j%|DGF3y- z`BnBy+=ls0hHxpuj^as}8?K0UPYvP{Q50r`+%X+6 z#odZai$f{U;)NE9ySqD-;#MSha1Bm@;7;-4?oiyVxVtALIeFf__ZjbdKJ0VGIOG2{ zxyPN1HRih4nsZ+BciB22`W?^&|t_p6ryI*G7b{Zs7 znOzxdwgQ)^xUoN2yF`j%#Hu?Egr*Hg8y2t}fjXLDXJmESO$ljb)ilalP6NpD2abii z#S`fXfcr_~`={K!FS*d*r<9B9;*Z-*tBEOAE*{%QY%kO(I2DhKyqDPFN}DAwGT7Dp3pX1 zksYDYvwQI@Bk&)Sdm|-S>r>lC;PM&ts5J&wGM+X}gisF_N~mz^4hnnHW!emHWIBK7 zn+3blO87YLPnbkp?T!{01b{Zux<2MW{*;R=?WjmSRxNfBs`|Miw}T2$%pTq;3e8L0 zO}yJ)RFtA@3vm`{QIoe|MXVuih3fgA0a2hxYFd(XeyIIq4(zhHKi^Td-HkN-StkP( z*0LKz>yYIIh?Zq{>PDO;vu+Xm?WFpuC@=sQwgjkyeg6A8@P0s;2Ydcb(|BIlC^r*d zH|Ql41lV;gXhDt_)El?lriK>q1OFmb_W>4YP)7aODK3ybTVO@Lneh&~Xp{PrkW%;K zHF3F&Wz&pFVj|j{I$JZ01{9f4%&E+Pc<_CCgMPq54WVt0eM{T#Wd>lUn9-5!@Hw*`EaF^I6<~ zsnmcc4tPpswT_VI*1twyp;O_o=IS&&og(-w`>&XwY#CL@VPIpTWT_3diR_eCenC!V z#>5L(T@(55B zFdt1gc(0ct!x(Vm?YZU+9du1(^A3h}zVqhnoX{}ky7-%RKsnOtZaBjaGCnEMU5i}W zCFu|W@|-R({ugfE!+VZ$D~Wk|W$qu`{I_Mwq?Vd%Q@&t#o2sJZg$nlVSHrmc!IOD= zcl);pB(Xpc_5M0VUxr_Tv-2b-5wIs2cRvmOcCO1y+_$+pr>WF z5nBk$i2A>G=iIpHiDUyLMkoqKUT}Of8BpbIznACs`k5q)n*w0}a1m49yAfG}Xh{fC zrjtF_0(np;<<#dWyid6*-nO&dl?io$_6ES_3>Y}m?znKnmL!b@hPtKg5cWr1 zyWg<%Z8+_W{6ED!f>mvf3pF%jifmr=(b)=a|FgFStSR#fB>iecDBUaD*h^5ZPeVkZb?3qt7l7(By=Ox|GC5v6bhWcfOGM!2!H- z1Z73T&+q+a75!SNs0S$JJycs>s3)ZU+-X4M-V=Ms&l z(dQ_SKyZMk;NA9&@`1iQcl(xO+lLnih8pPv8he&M6!*=Z_kGXRvtvWUhfYH1IVCcp zaX(#!pLS}?TX$2q5HBW`Rstsf#E#~BX2_}d!!y;+a&&k& z#|MDeLF>CDS}$eTJFg`rI;#wgYC3bt$Zk4?5$w0@xI)igl_v28Y)?SkYu{7a4HK}l zka^YDdPVtKJp4|z3mh_*Xgk^U>cT1W4lgb@zgQv+oBldSsHCDyMQ-@p^xp}7wk`%v z5klNTSu+FJQarQppO>``kE&Kb@zEJy*!CVMgi}Q_@BzSq`_)+zwuxMGE{j~S;(V;5 zB}Z0U4rhm!P%OV!Y`j!&4L9uWoLSbM4THraY)E^Rtb`ECApKv*j_ z17&3QKUv@e(k`|46kT0lL(SRP8MX*Bo^kjaa55TlFmAwR@0k1FdVIzvZHDO*biGI zxl6MWjrzQZZrV-oy_dlLgtqft?5V&73+~&x*y+k|C)3eyo1+K8W5MD9c>eP~PU5v8pc2WDAZ z&=gZG#f^%DXvceTYQ5fWqD>~MckL{{iO6fnkPmP4nNeDF--%{AV{u_Mg{}MVMM1-_ zLh^F%21TjFuYtjsqh#&%Jo;bc(*XDP(G&dnHVwJQlDKgr$}p~9ZcDd$qI8DlxE{!F98Vc(_B1A~vTkJJ1YvZ9E==K$#4C_N?3XpsybLa@I3{&ld|3pF zh*ZbfsPG~`V-)JD0Mt5%I>EzGZBCRmfSzi(n zTVs@FW3PB}yLS8`SJ_exH14K5Ri-67LJi?1Dg?W zb`f+4u;Wh@0O>}VT>l{|!A}dwWVf+#487uClDh!vA#Cd-_U|73zWIUh~#Vx3oscMZj3R2$dRM2Cz;l1RSz-d$KEvd^ zk|gArh)qg`%lP-1--?Z*|0_A#)(Wb6ckBw zq%JuYL~nMQS)S^tz9XIWU|A#84h`wep!U;#I2I&~5Zb)szM$n7#Ws~X5!%~G2~?vv zdl!3oa2$QdO)hwWT_)`>$BTcXzJa}$&k#Ig7yQmABT_Pgntws;Ry?IVST4weVIi`; ze!eQ?B5&2L`*Ql{TMNnCuP?Yv74k;;>1f}xh?}_ei}tJBFpdjcvJ8kkyM7mhKXhpx zM&-}dMfNK{{)xR4%82#tsdu6{(Bxu_!r%0tCOdnx;>mA$^48Un_*5MsF&6>u0!2$I zD(>J6`OlIXuvkZIA%>8rng$73*fr8Q9FzLDOo*T3)f z$&UTi7b^ElwS>?HZU5WMY(I)tYvW4s3>AexG9}%T1-|2n3&gCi#6?4V7V_4P6j3g} zKf)HgliqbMGiS@zC%>O%gc&<^!fjDsilHHSoyoWso()Qj<7@J6A{dGZU)uDKj3s}j ziv18j)K#UwzT0PV+HlfTk!%Rc>v-pWdRuB_re_z4y%jSLFuW=oqwlRV0z+Y&z#kQc zMx7`72&dGAzjOQ`=somtn|x%zUl2H*HqBkpX>_6z>AN+H!HzDz>B+ZMqxCXRPM%OS zh^IX^;&ZhGw(00z5ndqM(?28r-mv@gl@rPvVw0ZMQUrIv7{zeQ!3bhB4j^eBH+(Rd zQsp%MKHGZWPv&8`A2j7P3hnmF*H8&-GwsDWo`7a?UXV!mS#zW#n>V&3Vl+e44f27) z+~ge#m0U^yoAJm16K7>VQXNyLD&PV$I_BoCvzIgYp5P~T{~8hLD!jolax}WU6BalV z>EYgwvDnVY*Xez^>M6OOIeei})#6(|L!}=CldU;-0_CXx#fHX-w&Kd8F(6_=?xj1IUs}=JcvX_c3vmEw<_5Pj=_Q)%iLH zP;YanTnLTVK@Gl&Iymb>(BT6oL3>8NT{!>iU9A{3op_Xu;)aeIB00(NL?e5J%bH0? zJ`<5azK#Ag|L|ITlMC7NR!k5pxE%z*B;hsO5E`w3guw~72kLl1x%r|6W;K8ku zhmvN^7p=zcEoW%`hnEJzPJbN|ys)C;r~lk)j812~4IPbUW9&ja1265=(jKB1+9~jynHvq>d$Nj^Gi^O^&IEMUzpinD+-ie%T#CtDze(7xS8-KA|pK8YHr@cimvA-9BcG{{^WDs#R%Syxk z5k0k2fMnqXt{p$ELY9y>&&6v-4bDH37OY*l{0r`rID>`V*&-M{tNybRhuBs5Mv^48 zdG3?cz4rk>uHK?wL*jmTjm`m9K2NzgKw%^1fJ0xzZ)!QJT$`b~4BZ`BWO{yCbLFr# zxI5{MejeB7wi;^9vShH&c03GB0A3~v-^5eB4>fpR*OJSBq}H}qnty4do^HFWN;QJi z`f!olkwGtU_J(39gJn{V2HSUxK)I z6WLL|=QR?gCw zK+hG}y@w-0NJ2Lw&OghNhb@~HDKH}BvmHLJfS(&0G5Tx_6K!3|^mGC?X27dlz(oFF zX61F}{xxB7eS5A?<(yyMcWPh{5xYC;p@|&7MS8ieOMMilHV@|b`>zfiBP_190H?z| z6OJg4LrAan=TG^hRL#2cv|KMc*?jjq%2cvPKcOAnhCWB6Thow<%&zLtVH1k&-(PUWjd69^}ijsIA2QF#8KD<5~h8&I&J2F^eA78;s0 zjI!U6?V^|fUOcMn445W$Y%jpFW`H@q^LN9{uNDoHogRjnzlr6DjiD@w4#voIC)83p z%9$$)YRTm#oU_w%6AM zu+mVJf1_#)+*P@XaymD*ldX|C$3pn5tuEZ z`RoLuIon$@v40TI4gTIeF^F+Yx3k-0^7$`XnlxjT@Lqv_EI>Y%Py_LNP3O2qhRU&( z)Z>Ewa~+@7t;@%PBI2*j#(Y^)aaJ0R(}|uk>wR*syW8Pt zoG($Ok&m>F?mvxL4#{`kur_TmpH37Pfv8^G=UWbaHU z*YeCFzgzkOU|s1N&fkf6dYk39;l@Am0aodTKn~1%g+NWGb~J7MZ(vqJ9pI25q@Zf! z9Dx)qBF`6%1l!b*Z>~c?z8z;-n5bgxGg2!dP-7hE1dw#~ zOW0wD2$(2+oW~zJ^}9*{WR=pB@6!u@a&va#_geU{*?@t+sXh`XuZ5&^7LTc1jkCSv zAQIhkuhONpi(pa@#RO1a=P;cS+0wgh3Z1(830Lj0@T+P$wlXwOr}O6CgxF_tS~nPTI6O6iTUihym1Y z-S_7l)xRn&oaB3(?H``4CHH;>Oprh>mm1|VyNY!)#)SQJ*f~4bDpBOjG91WnN%*UX ze8h-lSEe%mW2|=qZbu<%7r5ffZ&RrGuS!67CF}=tGQ?A~T=W@I<+{v8{k_+=Av)fJ zWs{2+p?JYfGB(rwb-Fn^M)$OC zyuOl|SM{EY-+;9rUQ4AfTd=65w&m5OW-?6${33w-i+J6=Z14|bom~QN>Mg~4@Ba;h z{eP0st`Ug+p5a2^pi^_}yU0z~!bjYxRAYHanDXUSVh2q9*9**C#voty2EPbH`P<5^ zP$UC%8w@s-=Ql38eDdns;~lEre9zkM!w!+kckJ-^gO|qWpcR}g>Ch2)()^JRksi%= zq%ZL8bd?lYQdz>GfU{a7amX4uI=t6eYP8OMK7^Dh}x_%_O1jIN=D=K#DBlB<6B+BUc#MF z7(p#(k4brF-?vGw!o5|(^4RZ^&6sz`9hInKSN5{WCF?!yEj@h9vE~+nxaeGgbFD$D z3zhy%?_`zll=E*yONoxeEaIjSUnVf~brgx2T}hhEAYocj6f#vVGDH}iaq@>H&mwZo zCFtU`%`kYi9CFB2_H}Q=XmI!58d^Okt8Zm*;Vts7JpRoj7|#m zDrfE-a!rnG8@_nL(7Ky*NK>?>_FnNEBQ3O$6jHsPqm@9RI-0B8Af|GPCn&G7nevL1L% zax)YK`>}XGP9hzAGHlh4^bUx(srttfAQ=p_A;d{*^V9hMV<_Sk~*9TlsDQ;Tm=WCRql(PR;p)T3{1GO=nJodq$!lLMMBF6 zg<}8VW!L@*54Q9hupPml`4@Vc_k@W}XWlxi2%FpR9}0WcfK}gr;I7F%i2eg!tz^!- z_3w1+OYGJN%zvk_7uWnd_#HEzH!1D*bCm%vB^r&%PGuo>l#9)WEn^z{1vR`j)!Z(A z>qrj>m9$@ym(d0d1(8JSr_A+jv_Dw;x@sS%nj$Ga%lqGx3Wifyh~7K+>9fo;3VskE zj&d=n*O|gCM3ti4F#!Xb;+Utfcjp#_abobb7?|3Pk$`p=-fW-t0yiGW0l6Tkr1HDM2K$0sPb|_o%%vDNNB`0s%ky@ZFt?AzEVbxb$0_^uE8_l z>|>0AS6H9z<}E^=f4DpNEn^AV*F=(%d3o_}-deK&?N&e9! zRmIE}k?rM|DUG)itE@)1*o+kN@ol%Q*k(F2QTPkSJYNwd4Qhen_n>b!D7|X7D`>k{ zNn+>_SL`lhjIt)W!{vIwu}X#!UxQo?MSk7z%vacH;vFyV95~ugZcz6NzmhO0q0>&a z>%YUR@&V;P5&hp9hyN8l(VY$k?Fwm?{U6L zLDlsiaUM9%NB-}S_U|~2zdFF!q=vAk30f>}@@TRWGTPP|wVKgm729+$@u0TS&*+@s z|01$G?=Vn}Bv6ecNogSY*_eAYXdlFf-#dQfJ@3QxJ5;cw68U!^yWhf18{A$yT=?(L zWyEWg{TM0oPb0*1S@3f)7|viTzr*zy^65SJS>SDbRB1{<&tJySmQXk^yr2g+b_1VA z8YQM0sYQK}$<8OUUgl1KSc(!T*glGX=OepjaZ`!4X>&4*U2HA*0iUV({-jk-Tijw9pYs?2<&=5fk#`Vl2P$TruRmp-4(9S6_36Ph)Ls*;Dy03u3goPQ&BvAQu&< zn@NtL(VMYGz-X_v!g{-AYymM9vQwIh*n{rcls!QO{9jszA3K_=ZYW@0=JBC17?fVK z(t91&JQz(yb3L_cb(opS$rxJgCG36)LuKhc~eAWHq?@%O26@Is_nn zCo*MpG^E{hcj%k9pR@{HBH=;WTlmj%iN&YRv-ni$NkS)Q5x&A8fy*5?ii=KP;C7BT zj4R_bUhq?i%I`hNLBXnGy%wWQ_?y`cjc_Nk){ceL(n5;-C*Sh>c?*$lzDpjHL5+~7#rJnT!h-EarD#ki-Hn8TBo$6=?4I9|NiZEAS!_u0^1{+ zmiUWV-d}a2r^@BPD(J$1uMB4tkk2U&p#wTxFE0+Nch)Q3?ZaXozwzxR|x=XOja-Jab zpiq^pbj z(pAeLkr0P8$RrnLLeLm`ktD(e;Bk3^Q%H>s6Hnqs&yE3^v^t3MHP6&JLYE#SQLfRs!N2+{IDgCD)3lo)f@N%OH&mX4!`N zBOs~0QF}LM%uGwkxP^^ZEpRN-^?R{!3K(^crS0vEXr=#3QA$`Kquq`tt0k0(oYwA8 z&f$$73|5Sg)aMaTibSKn0XU7+^~A&=jbWyCS~P&u;R8KO@KDj$pc=P;j}^;+mr!%+ z^rd@q*}<;*RsXs8?%KrAQ0L&}-@Ea+Yu2O7w27Lq@;mJ&=QwrahggX5CnP#$Agl=C z{dvmkU3zQ1`0zvL9Ud2cjC5uP0<+UQ=}ElCsMY=WGt!AD6@!nFZbT>dU57-ex>36} z>CjdD)4;5PB8PQaz+20s@!yGzUtVGpy+Dp<^~HOI8mJYmN3+`!YIMEl{=A@|h#jFl z#Q3jeO#cU#)u(r(ePCwbt~_WlZdZR1(Br>$eM0#wXt1$gdeC^@uNnhJ!PKXMiXbK; z?Z!*<_K()jB`zABZ!awTS>$W+#8}5+E1x$Q-y>Fy!7MR9Qv?_@UnrrX;AQmxt4-tg zn^mm-xM$K4fUzI(rQ&$~wmGK}4wFLDMSSFnPA;2q{~aSg)Tix+$B7I#>59Ly7tKIp z=7W;f2Uq}!QzVM z&nhudP5wO(*45raHR=6Me+U2$FtQDHQD-mt5;er(BIhsn;^Wy>?5a-DiLK!Xul%(_ z?Zc@UO9#cnY?MD9;w2SS9y0NgJ?!BlC~sa@z1|NQJFpBCyq&rKoh2`{6V79lFA;2m zXdb1TS5_nl=nQz%H8c0QuTaH0P3HcBeuj-x3hADmu6F8kM8eq^^G=-q4s3y?~ZeJx!}>>->}iq zRYlTyA&3Lib%#P4ae@tllQ=pG^cOwqxPp4UwpFCWCS_<{SSc1Kup|GGiOK+e0*sew z!=vB~y18aXq2QMXId!ll;1%MCg zkR03oUQ+!dWxp~8`KTxw8IAW50*tZ|0kv1(R1LCNJSy3fWJ`58=HNv*B<(?oIpJmg ze?O`d5zUU)$vzfLR+Gp9m#f;2m99Z>48oNq@Z0W~oIqdu#Q?F=qa01!EsoAF$mKY@ zBf|y0YV`)q-^G`&;C-Hs`Sg`3Ynr%MIm62DuG%>YY9Hmh+N^0y zO+*)ASxRHCl>Gw?bL48tCbLYG7a3_Iyf2N?(MGBS|)DmABeHAPvGsg ziuirS67aJ;(60O;1~>{P-u0P`GN7U%dFZ5S9d=RblrJ986O(ah{!~OI7xJF>A&-P# zu`O_kmx16Qf$3zk;FSU?t`PcLPgx>F7pVN|KsuJ5OZ`RU+3ZS`gsu`vyB>SP-vxss ztt|!8QR*5=)z^(}LX3HV@Xt}G(pIxS?_IQlh+enj&$O-Wno%2&A8iPL)aYzLjteXA zTm;vjtOP*}txxEXL75z)=1H{)*iw=MfJ$zpwJ*gmRFG<<2wqk9_uvh+B_WD_Zq8?r zZ8eCTf~-=7qEiQ(9@?z6sO~EO`lZg#{FgA`me0-Ej$J^D1r4HT{fB`z;EdV9JK09? zY}2XGz=WJ(sYy)jhR`*CBcoC*K*a{@hdux^-Tl@0wEHXKgS(3E6N88R7|^ukBz#e9 zGDSE=T9Ng62`F187f_}Z0yV~tk%&0?1O&|;oogyxy>y>=;g8b$OBW%#M{?cHQS9bS zrkCI$t_{Y(ko@CWZVYr>f}hc;b(K~v(gM|PugXY2{;9lhVL2?NApiPSoJ^Fmg4n5A zm6e|@K6eza9$pU7KCM1$EvBJr|5&wDiT3OK4(?NX-^t#|v3;o@qe(1h5iCUAi3Z0l zj25{^+mB$Wz!5q}UaQ%*z4-wWN=xiF~OJ_Bv5I zO#anFVJ+bD;S8NQF0A@_orccu_-iDMvi3;IyQItieEHrm6AEAKBrevR#qJdIJ|6!= zUFAuBsoC+%UfLMIxzBL~(&+i(8YcihGDlRX_83HcNOv_R zb6fTE^K5G!^1wL2(=V6s1^tbuxYDh#9`|2^Y`E+Iaq8-rVfj4W)TzuDWb2pmTU<%> zmtsDrz1g|`7r&DxV`YF|u1UQ=1p1_B4j!HA=Z_|dM=~ReM?6{h4^-$Py>4ry$r}`L zE7?lVr-8*pz>6UQh{l0&uY$gr6*2FZ^}^mLs+1nw3Elhf$=P>*jhDcj{dxQBLoN}Gl7Ys=7y^IW+-99l8@A^F>Slfqv}RK6-en5xoWtHAEH=lMlx9SL0n zI4y@!O_2QdkH*L2p>q+Q&6uk*{}th}wo7fmA8vj5sdI@`P}W?E)d?c?9C1D{_*M79 zxOvF?ld@CVR^2%td?P7!eLcCyw5f{Q_5vM5ubyaCKJ1|{SeptAKu#BC7IHl z*OP`?%Bne#viE-(yCX>0S@1MT8oXPuRu-*-Diz3c#Xw~b(5u9Hh*@awiWo{u+|dPnH zJqVMO7Ton%$SOfx{W#n043yJhRZR9hZVqR|B>-R7Xfc5!)OP2;DyK(M#NnR7mMdn4 zGYWgz(YMtky4+Ls-xcRW1eaIsVB3xLih8{qb*DxNIPMbKNgr$M0J^yi19%09OX?A| z@GERPvnT7^g%oW+z7YI#mBvE8MPme=dnG9aG}oB$Q^fuGH$1AM#jBCusOAc3B66pf z(z%*zML@OTmmcRIJ}ta$xMqLfl*_j!KcZoBuas0jDsSIGlBTbcdB$kT_RFVOc3Bga zH1Fd7a=QV!N}oqPa7|coS~UG+;^w{hET`);9}?U`e9EVH+a*3Kz`;dp0`eDBIq9Ff zzSu`eG`j*U6TqGaZWA;u8$uh+_owTpf~Q_!PnIvfen#g={P{X21kX510tq+iwUPUb z;GOp!zi@3xJYaoKWZfiVx2nG>voDkWdtDo$`@u~IKR#PsC+9Ag`U2iNi-N0qe{5zYJ;A`)RW zGIr?R)wsK*q6cn_&ZPws#>{viMUi{XZ~>4wRogo)|7w9Vr(?X`@WhWLGdPWyC}xZ-GoAzn8(azkvzgp>Qn}L zJ}sAdO9mLM<*a2bz9MU{ZJQ~npF-N|5y}Tx#^1HNfw-H#hGnfG#BmG5`QUoaESfqW zuBp{ZtUX;16c=FqIdJcKfG)URf)y3mrAA97cf24RcOmUaj7tY7q%*IaTrsMSq*XIz zxF9DD0#HYBnsVT-jv-S3o+isBBk?*0J8!vst0`Q@ks*T8fVYP6YJibr&T4VwB%jep zYK?9pEjKHJ2;cixwTz8(=auoKYBw|0>~%NxSS^Qj;k$6WK!be4C(%MA?$^a2bf~P2 z-m`+_Jka0gLF1RqSAIbCSi@>ZS*Th6kYsES<%WjoXIi&sb~7*XjKtqK3rb==nofz$ zYkeE3Ex;XoNSYw|?U~P02-{VWN?*~dKkPdDSyY@;;Nkyrk94-kM>ZYvWZgEgQKPan z;Ze)v`xl3nR3uXB>?f10_N}ts=p#w=LeR3CON*#MQ|x0d#4G@jI^W)BusUgejiBQF(?JP>sra#6I$D8-KyIeoOXRokr~Nwm6?~ zp$~N?`!7A$4z_Jrv({=EToWpm459Vxd0&5uP;Xk>(!X~!`q6eirr5MrB+GcGI%x@` zik(-xcPg$V2m<(NSG*UsAMXu1t|OnBxLot?6wBnE`{a6*X=M>b%hPeE;|p}|rND)$ zPNJqJS)KbR6lcq=6J&LiJ?P6D6Z@9>Toce@!m>j>)>4|U`#|om-I>>Xldj%WDs275 ztcqaG5cN8d4Ljb7zefyPvLEr*o5`h3hmgaJH!81W&P}1G`A4>f^0Ch#)q?ME!Ob4` zFN<>S#Pgh~q-YbW}mN8i+mGYJ{Kg2)#ENgPc|1(ZblNxnIU zx0VXHe`I>hKT}Y0O&;<>^C;cE=Bh`4eFr2r%N)Kv)8dQ@7CYsv)*HS#%s1n}EsQ8F z9es_P>C-hj5@{oZpK)o%%LOESG1yi$f7LRMxa<7b%__R92^79;SF17fn@!O2-aGYV z6Fyq^TgqXb*hy!kSh~(Jw(%PD{F2!v=Xr`yv*xgj4N1XIrbCp~&YBw$td|5mZ`x+3 z+lcFP>IY|aXeP(wOUaZyOVsXE0p2qK_+EyMfaUBsvtx&yjA59iF}f`y{OuTuLB7?YYq*v@hOF6X5n_mJ{d<(rrK_l^|xkmI8t^8+?+f zo1yqkY!gB2_Ga1FG2m-#%W4@>S;xp42Q5=ld~TL68g2yt60)Hh+2`x4CA69CE*YrbEo*nkQY0A%%XSrMOvdlD3YE@Fb95b8H4d}r|Q z#Z=mdVlw(}7*JQ1gr1;v`cQzLkEyD2vK9-q%a*Yc$!&@@I`3x%*dq=KDNVYWOINxL zTZ4|)ZyP*pHXska7mK0iKu1$10MmyZaQ?@Jj7shZa6A7?`anf+S4F%TTIhm0$;BNQ ztpAMq8GXLzw%jwpwgCve8vY`c6{+;FG%H>BrDr$p?r-7U1wgkM|B1em_uRgoit9k} zd1GS~SE@v5+xi)Cbz?64Itrfw*hGvEl@Y_WRJDcK^2xAH*mRies#tA2UsyBQbYb~P z1l~~tv>RX!)@!jKJrE0|@wKZSR`7{o0-)e{;ByQcmx`J4_z`WIRV`rYf! zkLUvYQ@X)pTS!IZ(Zmrx#6iR*#{cO2JSNgbk6k*v<)}sAwh_Xb7-dBJF8E0;#t87d z79{~sT8XeBZx=#VujGvxm}uE;9~n#_40InkmV6%9>N)`@VKETZdBiO|K3Ch4QhTo3 z{OvKuBitW*;|3=!jKXpvync*(k{14xf4%<|DVSxCmV}tP_P{iV;x2=D-cxSpno=f^{ zHPpGp*wXISXVj@ik$~VPo%K$oF*ToOnEu1U;4g(c;V&ad*bRW?oO28G(Jfl~M;HB< zH_JGIT=H>iX1?SHWN)vL)&$wb#h5ya6$c#4`gXkA1+M8Fg)QKL__DAs*Nh}F_Wg#O9^z)I!|lnJDwrAYDAg0ELRSx=_-SnhuCpW z-H{g8nJdyUb*DS}82z)G#T?w{ml!)JEmB31blU>uv0>)LjO${W(2hvNSAP5SQhVwmj(1gpeoXjfRvK%>OMv0Zt4+m9Z@M!mBNa1?EM@Cu3p3%>U2NOGh*_Omx|bAyartH z#vUTLFKMFzUexab%zz#mYV7DhxMi#*xQfP92xLU~4Yf#G^-w}AXbKcNln%ZAsYLU9 z2h45?=y-7`L^?Qq9$h9f!~4x|c7FQUJe23@`Lic#Y{?(MX?hB#hH@S|&xBBqZ${|& zD5LDGB<^>b{h)kB9_kg{siggS)BbD36+=lY|4-Oxq`M5UzEWrl(i$Wr{`}klGe9EZ zV6|sdt`fQ?9l{|gc(bFch_gW_c3QQXalHlv zC%AhV^2SkPxK}+Gzr&Qxr2lKB-IPV1%EH?hf9-?oT=oG&H-NUAUdfn=v&q7Z)ZR&} zT@}I;l@Td*4}p)*bJVdHXSox{8@geW^J4mErOWX0+tin{s@f|#rmoeS!W4)q$jHV0 z0$+;P!0R|Hc=r@oBy|z)3UKOO#bivDtqx(2t`$W5TP3R!1%&XG7(un8w zS99NqA}rr>{)H|fBD1M1?P{LGkCg2WrAR1`p^0Ox)?PrlKn&K~pVMHTic0EQso3zV zoR#4aWp-}fn#7w5Rvej(6MuJp;AwR0OD2&#F`KpgaDu1L`_}EZDoNv^PM^sJs1ox@ zlx|pV$C`nkezji?9h)30UA86_gu%`=o38#a7f-19E4F}sWp2z%iyv*W2bGO9rAAQ!1QAuDR)%~h>mp?A4(BLNXzl> zZKvwN0Br+n?yG--C)MEEkM_~Xb{YeY*k?jWZl1J} zS<9A0DBC8fayUlivl#z+K^;e{uYVa0nhCP9%kVi`AU~xWY7TI&(at14*AVY*cm$pz z;%;ymKgfId4%9*;y1V3~h^Y#C#92vuP?VQ8wLXdEVN0>PG3}WZR+Swd3#W3$xQLqx z+e*^RuM%KUt$(b^HQJpe8A&DX-u?B4^E65-bOv*mt^@$?7Aomc(C~#6IkAv6h|9(~ zcRp99d$%=;YaHw+>m`0Ni7C_h#!%}Qp@UBO#=S&0sh=h_Mx?UAX~mWKL8^4KGYbZd zSQg7_o2xB!@HfGI;K)ZTqg(AId}K=BK@oNjm~9;j85m|VeqS~pPAnHeYI~fnaI>bNu zaRn3RZgiqP@ z&;OXBeHWz^7ga*?)^#rU7vBFchmZ^go7j|Oop^UQL3mTC$oV7XYf}@Rk{g*4%by95 zdsl_*INE1Yk)v7qoLasG6jnwQIHD*?WwX;iYTw>_jA#s)mflgMks8l@Ot``68Xj_G z6lp%4(D*1mE7vUZ+AEPtPE2BYgu#v11vv^^{Gr>NVU(UZ8kI}uh5xFbhxCrLrTh+W z@vchbnY{pLOaFqkdi$(dXxB|PDZBdUr@U~PKmh}lGYgQZ>AIg_Yl!AXO#YmdVgIDJ zsxC`?KKtAZ(?WpzC18%TsRyiGlV=1X5*0R^j(dT=7S;g44!hZCaxK1%6S$EInVn8)F!>eh`?|@1bt+xe-U}B2Sd}&w%A*Rj!%DeiG1?1l%#47j!M1&CG zEac-1mfC#b!%m!5qd8tpG z1e2W_Y`l#X%JjL{(`NFVS#*R}8D<);rO{*2$1rOQ;#DW7{Pg>VCn$f@XkZov8H5Ds zPcb2SiD9m}pKSGg6&JqNen4S^0EMDOgF6&=cYt$GwmD+SH?I!i+NmH|5>Z}DzSBkS&C51P3zqb z65ZQKnq_ZbtW6GC(CP}$sh<58#tN#Cl%##2B3uX7lgpRtreE2ESvtJnsmc`eKXYy9 zJt3o`;C(y-gd=9OWydr?CqHJ>>)&_)O&Z?DU2nkf0_X!14-D5vGpi@PPZS*PWYnfJ ztP{9ZQT^V6A_=FDuuew)uUz5yXOdn^iBM+RkKdCSJ#`R-t8kSo>?L>MB{t4E1*OEq zQYU=(^{Lxbr$iDngD*wckHn4$*D5H~YTeL@Ib-N=0rb_i-W!4riy@J~T7D=<4uTz5fc#aQm&ma8W$^Mga2p;`AnCYf zxNm29ZtZhV5R@mEGnMfaa6Co+y^XpR|8niizu*PeXm=@=mqyO9vS0JwQ$c%BkWRYw z+m|taXj&k;wB!NMx*_p|BLRbm+Z@Bn=Qu*u!_LSNvqrcet$*%b@39AK_F0W%htBIS z+iI}3pFMA{C@Qry&L85g^^O8kX?;BoqppaXy{3M={K-`P0;6#1zM^mU{V>z|zZZ{! z>@QyoFfb0C81s+sqS*c{fWP}pv|fK+W|Bv#TR}!UeNUBi6V~F8&Pej`iuU_By#LGY z7&g3@kOoyB?+Xc6pJtMsi-O?!gDQ`med@04aUvsFt{WT`HHOgkWlAk(2^)g9CO@)o zJiC|`td zFLd>0l+=ldDx6?Tl!Z<#!c~Ipg5wA_bhj^g0&p>kx6j)~PoosEu4 zj{44rs(FR*L}K}p18oj@_P?yGn-yqpBE^r+ZMgS|Nnx6WF?Bd!@mY1MC^p>zU|&DG zkj*EJR(6|xyuvyurh%>u*&CbH zjVZ3epjXYsbpP*#Ty>{*t(}c?0X_!Vhz(=*A}pWFOFNEY2ZLLO0tpShB%m8$S}_h{ zWFTF=XlplG1Bz`v(f6(tK^JdOm-9+%aCzUVzu{V3gHBC^E0Q!$iG(b1QQi1!lw1I) zzJW6?iKseg7s^-;;|#tzqx{4iO}T5(WlY1_$o+p49}w)#N8#_z~fHQ}AJ zO_&?TFoWO0!^~i{`QhB$d(in4$dpuNcetX$@NjlsXP`HCT?q`wx(Na<63*J!e$Jiu zp<$a}OBlN6%I`?yET4Jz8oCj0#_JJiLw<**{4W8U%;HHuSmEH*l2GI5W|tas;-d~J@S`Yb|(jHOrajU~YNhwoSwzqeAGS+rU=k?)6zaVeA4k-*l;~b#c*FNvs8X~e&XgMEyJeb#*{laF|jKH zJw96?NS%r3&xUoiKPdktR8bsx>t5_cgYc zU#`I~jJzxIk$*^Ep=!k6D+dAqDzvX!3;|fI{#+=ib@kq@@Wb*jmq&0N{?2zk)Ilc=zjuI>7_GGK?+`RB1e59p0hc_U$H#&5G3P-7e>DD&q;=`F+ zP~~vS2V2TcRIz@j-jeR(m`5+9`bbYu-!>1k-T{>GJ}4nt+Yqp$B=-1!ma?S4-4qV$ z4whBG&jkmix-{7(Okps&!bI6Xf+rxMwL8Z7j*zQ*4w-Q|C@Kuj#-Q_Aa9X2%&_o1B zG}hk^psVWv_M+$MiyD6LGZ^!#;AZ~RE8UCQO9Za6$~9La#w|e0DS3xV5y^wJP2DZ5 z4FArJBpzM_R<=S;HIC?H<*jmfT26Dnwf0!Be?yeDnU&qN2Q1Iw$(50(yC1QTk}7&n zhSJ{^iUO;%2?>u1OCaRhvo?}AgimVr^;z7c&*-*EWj?R>)VIZJ@@M6YAHAv%*lZ)> z#&3K1&Dd;Ed*^y?4+txSiqDEf;cf@1Us>WL%!*j;fMO4yyLay6GV2T^2}rJbyT6#( z=O29lr|J@vph!&hl}d`*0*}ND2iFio(C-o4Mzw_8NpL~BhBav0PZPi+^&87(O-5az z;%fDgA=R>i-f|&hNBp}JkpmHG>BCsr_{HGTxPhXL(Bz*|ll@wL)SI(uzZ)Y+9XuUK z8ya@9U#drZBOQwOS(kHEh~WDNREtWn`&Q(34~6;OYe{04^fbbiccsU?eWSG;{XS-x zA3&g;gGq0saVS1gA7CB?X;}v$`bY2ia2RA5Q_Ju*@r^{1P}c=yh&=iy{dDF=MJ-;K zJmlhi4}KeY6=h9}r4y=UisP>^*lG|CoFe;W3${^D-c}3rw7q*i+ROMrGAw9n(zdc*%{b`e#*12MO zs(%)2W;h*Z(L#r%DDJUFsQlx=Bb7Mol+f0s1`^psbZcG^Fhgx@h3s6ob|OMMLF?f# z`JSR__7Wx*ob;wo?m`K02Lw5QW%$!Sj!E|+cSYtiQbxnSl1m=`*VU~M$4l@jVwD=| z0qZ!Z>!~9A6yC`hS`pQ#UATMTi#Am!P-0SY1o2*ul6`YvoIq}gcE#s(Fje6dY zW`eQS0)OOJwqQr#N~?=J*xLRz-nO(b-i%F?CTVOU-9PaN#?c?0Jh?})wbr&Y9tM9A z)=eO1Kd+rbz61QFUgrhzHpzPhPmv#DEl+f_HFt0-l{RZuZ^hE@ULG7N!j21Gh@1Fh zYC4|V=ZyPQ9LR#K9OQ?VAf;KFz8mS2goq~=FX8uFYvl_+YWh7T**5)FdRifm`h$_R zeNWuNzBQ;pPi*Ovsdk&p344wurC^`0b6lV7U1tr!C*l$_H| zUo)x^&em!UBq9|+0R_U6(qez1?r}t!%76_&CH-i|IOgUEUBnNjZXJ5{LOp9;!?_Td z-Z@byE{61CDMLJx_VR+}xs4EEyBcX&` zmKO8M@3EJ4Cyd5i7+j$6=oed*k3~_jai9M_n$Ja+&X9?o5R>R4=AbXl2Bm`Jr6Uy5 z=M1AKfKj~cY3_vg1PdCsTD@&lC!Fqqzw0TF$%`7V{;{#y9%cO*gvxRwIG08k^i3Ku zSSZJQ$N2jxpN5X-@{v*gi!(bqN~aFu(E~QdDL3OrrvUnprZb0|PV%CDf&0S!>6Ibl zL;>F+DEvQ)RkKr&pWwTMYdFcj03XEg^XNn%;t=Zx{wXdqa5T&AF#hl7?Js3C{HuNI zSM1MOKBoF3N>Mn_8qKR+&g{TCGtg-(iU+x|m4x9vwXSiYin|b{INzrSK6Tk?CaNpe zG!+9%LCtRkEDQf{BN92W1Nvw{^X+KaE~FS>W3$RbD6Lwn^kG-+U1lSn^N*MRGYU{; zQ65PZLZ#Fj>e~(*aYcpx6zy&hkod8+0uLH;~q z8nNlD8Y0hst55yunwo}DPX8(#a*XVUkI&QboAKPqb$)MK`v)DhW4mG4*fGOs6!{Tc z)+CsSITwxE{mJ*&JXNW+tg>~v%;i*9301$kdAQwl-&9N^S~I-I95p@WS8BAKaLeuc zV+s4L{VydMP|14b_>Qr_^73uj`EMrYD>P--mM+%LPuV+O!|-^|D7B#n3$XRpucSJ? z{Euvw6F8{xU(u9e&E>&n^k8&=k#C{f!?=FvnI2$D@WIX#Kxkn+ZDi&h=5FZ1b)Lu= z105wWw=N!J>I77f13#a%XXx8oPS}N8%9s?sld>jSJaQQD^nH$^hjwjA3;8EpluI;J zzud#EG}pn$8C%geh$JdL|2DGkoJKqMl`7&XpW=S2kT7VnT2B&Yhw*m@^XL#P$9@Rk ziLX&X8qI&)+A;2oLyb_VnFaWuXn1z*OhwvT)#zvLS1}6l#3~n#h;5!SkynX)U35{G z4H@;|73DiZKMH8Bsix8hK7wd&H_KWUK9tPHvGZ}_e@5#}llY$KJ5fgU{cQPVSB#Mm zy#vckW9)t_brer0ch$zC{LhTY^)^NsmSvpcIELhhAxDb;e@0`et~N^yBRm$alrAJ@ z!GzvvoOMsn@W*J%p=`nNLNY|_=?doH?R$D1pC^jz;?6C+^08Z5My(0NC%Km?=n{qxb{t<=4tF!+EQ zajG5`q+XU;1ZoZ_yOk8B0qSc1K%kF0hpX_t?~CCYC>Nzf3M}*IfgD$Dw1{mfau^&J zlPD2a%N?)+EsG?F(RElH$~Zq=Qi6XzagZ`nJ%JUNwM{UcW31ogn-(`;jqQqF5*QMD z0|@q}#tOq}Ba?}Y;%)TmE)GqAxiK7PXT2ufE5O{r+7-!nkt8i#DJR2dSs0h>G*=YJ z?gg|<>^li_c=SdY_>1j|&Hznf=?#Y)5BQ&uUPJ`QueZN00LC39AEfyC1ai8^`Yrh1 z5^jZ==*LKg;q)nk#X^CvT=NO*EZr)8NBKS!O4hzM>2e0VT$chyBING!Qv}S9J5A=p zD5MS!u!=8K-6c{RYN@hHuaQwLntOqp_D}8b<5*e0@65KT|d2t2i*Z!p;$7dFF$vf)KHl?Mzb=l-nkrnX=JHvrsbO^ zy24yYYoymjp|tY-T0NfifHhx-+4sq7&+I-V25pR)olraHpC*kv9|rL}2^G;Ret}07 zUFi!p?ar+70@|6x7YjozCGX0~rAA(_(<3KE>O9m_YL<=c25x#ZBBR84|JUJD#9Iqc z3+vY?H9x1$Z&>NJ)B9~wo}#xjz+ezk&%tW_@*eqdB?fo-Viqy<$v-(CqJhkn=&+yt zICeub;S^((q*Oef$fT}2FhQpHdOMlRioed+o^9Ear0xh|(FXEfXuVzbJQP_fAazq- zDor_2=z{5p>Il3e<68dFLJNV{zy#Nx-Kfs$gM`3k$7g;g#KWtQ!u`(ldXhOW#M9}D z!2S+D{S&+3Od*n2CvbL`4dvaT+vFz;ll<~)ArPT9*)KDomqtLg)V{*gR#*R%YU!QG z*;#=0hBR3}|AJEdfN-P}VYe>8O&4*RD+Dff4tkg4C$>d1uACtL`=aLhRcBnW`$>5l zeN6D|Dnk4<=tGc9{$pa%t%pY%1h6!R=rt)s`0<`prDZuK44UM?TKXsl`3?|F~>Fzhk6*N92J#@uXmQQS^&AjN^{X70I z?EB+BXG_?=SOC1(^>~U@QMoZ#ly4whCG_TZ(K%>A)79_w9O4B1Jk%)P=nq?BQLwq` zd40?wPb`!^4bcke*9bHX4<>|S}cpSU9C0*I?hPr0r z{w%@5fUu7~g7?PDW*15UwiW!}-p^8&wePtp|0zwm3NS%{v)!tiRPP`DLhD))4v2&& zi#^eBUg`S^#gBUS5t5Xpa1^NWu?`N z2mbkFf8Ttn-^i4CDj%QNfV?7nq@l9XQboPOKOVO-TAX7IuhgBRp%M|f0WYC?YD>H; z${*4$y36QkzH^_`s)1FUTLWyLTcL;_Fv0b};!}_iU3dD$)KL=y@9WWDIRtx>sj}n0 zU@fCJ@kQHqpS~zpu?ReUlH9QR4HNY?KzKa7jIslRH3<#|P)k=fQ$LNcvLqIttw(x` z1dm8;s5>)7I(IECUIBxG&wrbRsSq#=(@=cHD&Dmj4gcEY1!wHftR5AWk%-WK_~o$` zV(qdVcwANug5~I%N0?cYlnw=n(t1n<)UFT9AvzR{?U1e>Yl50r;RRV8^jYqyYrLGSNI(u`V(ZwImPP5G6Lx0 zNA83P88X5REx+0<6g7n`&fHmzn?5VqHo-h6mwbe8+SFZvC zK{v(HNWR^qNO^i4E}%Uq|icq89LkhamhlLWsGhM4n0xkJR> zTD3mA`&%htA%1XRbxN~O6f-WpFbNd0*6?>MsAXoXGFne)4)_z|H*=h=@s7~TY^I$N z%a%efuD-99)BK!?&gUvme{hyy z)@Y(v6Eo3|&M!GoI15bHJt{}ow>f)DV7?6!df0w&MB}SR$Pl;r7U96E!kNjG^pOs=8{<;u{x$$6I zU$bsUCCBivb2x~Vc_wMHiRd7R30~P>7B|iMU~duF&q?A64|lbYX`a$P6rnU9z4+3H zYA))|ey7j@%mNqZPknpMC~%jbtZGpWU4w6bM*6481;c%|lgX>T`cS7}9;oxt?S0bB zs0K;NvfhsNZ-eIZWh3RTM_`gpi_aT}SY{zUi2GA##Cv}~@~67Tzt^%PM~1L>ONKH9 z%Ee2x=ucW|H%$suFvIO;7^m4=POY2&%>rO_QpZquTJ&86qQcCnpVt&OU$|Sv(Z8q~ z%Pn0nTW%8NC2YW*I05a-7+x4S$M}eyfFg`EI5k38YT1gyUL=llEV#q)6GF$-#bHE9x~-^=-Gq#{nzD zd1ULe=vk{{j2=&`^HcuUyNy9y8}G=5v=4&W$QM9>YlAKtU@&~ydx*9??k67nKpo3idK3mA41Mcrr4=BuaUAQxf4N-NKJW4zi;AcvXAd$Z8=H{a%q4igtLcL zV`g(5^<%q<)Fcbt2g4M;HM-RO3A;o{a9|l|-c=MF_AsZ*CUP5fPw5<~8J>d{3+HTl zh5h^6cL2R+eI^a?(2nujvq>s9aw*Cc@c0l;K-!pCpKz5nds5 z7%P(g!q}~SYMGlLrBRmc#VbVHv8Tz=JXp5M)(v36ewz?R7TiAzx1ipHPC^X~G>oZF z8mI#tUlW#-EhJ*HzsNrUNmAZbf9oq;&4yFgP2f6K7CQg&K44H+l=&kXg>IEhVS?(a zfZzb5DdutLGkhK5gW{ajQ@^kmWzTiXf1o{5XouefsipJMjj3a(BLNpqc-2DS$)oEZ zHIk`7bi0=-3{8}JDCKmicV-PmwZS!KNZZd{HKu_R!let5?gOBlN5l3J2+jihynIXcgqValj$J3DQp2IU#*q&Bq?W@HHogkhe=o2N#1X49VhyeQ`uQL6f?qA=2Imm3H5vd*fy0S-%s#@ylpx~usK{^Ho^*)| zhhY@3Y(cAW_MO)*iX2wnx_Z z_nU8Zx2UeM^f#L9no}vnH5HK6=3x^`3>;l90S=jEkU0Rsvs^MLB45MyX)guS6qbUf z9umot4u}|Txak$1wX$9pBfv{O8F^x_&6py`d7&FmI}1lK!R&p|tRrrD1H`Ok!;5D!+-0sx#6nz9u)`fN9WIHDchh|X>4oh zKnVo#y3RZU@JSNMKVPOHUlq9qhFa`$Gsd#*38uJpY;(LBr>qKf0=+N4O+Wu_h>6<- z@sLFMv0=$0rbiVYE5LnGTSd#`Lx;XpgP4CiaftdSG)q4t36J^Bk)3pw=zfD_m%9GC zUGUFcE&qj#I~wlrh$aMjWFJR47nZj?|Eel1_Jd?}g9E^m@Gvxn&EBs6y8n~w6^g39 zO(SA<3TM;Agl~*A=ZPB%s-m;T@I88#4d*KUX$w=CHm>!pPlX222|{Hh!sN<669+`O za06gn>!8BJ8lX8;5IXK*VHY(@XM-+LjVKy@<*HTud{i0&_Kg-?6BMQRP{`M;yiTJfz(XCPc5Ot)^V$taSl|RmTxqT_D&3SD;5qq+( zzmdpTy!}_(p-cnXXH8JtbB|ov>j>Rjwbv1j9{dr7p1-`=M_3q9;LfLzI0#mF)^dxU z?tF??5y?XYcZvw zw3n$29X=~MDEs@mwT7i2D$`)PPyooa^}5nHUBb0-kH=hkQ&y;&gDlr$cLQUUj7m1iFIjK6<@|g7QuwwE`zJ?oJBb}S~O|N3)bT9AU za~6TgDTTl*Lo`OFZ=y`G(L$^PRJiY*XQBLM&8H<1||(Iagj~;Ucom8HFDk!>=;` zP1b@Weq>07rpiTVD!5d{y;LWT?Wh2$Yz}Kc)q*2=qU6uWg{c#KLqbKZgR!+KB(k>~ z%}JvR5qD5#WCN9QwIQOoZ=TRtiMo6fpaDMT6$b0)oGT9S+(*93{JyRP%S{S)$<+C9 z#RO~*O%=hlCO|c*l={GKay}%jIi^OrrG7-Vt8m*V>*S9>rOqlD5241ramj@%^%FCF z`{*g=3II@HS{L(3s$`8~t#B2E{&bha6TU}}c6YqYz>**`mpYQ9^IlpFnmYv@40hIq z_d*Zd0XX$_1sgEqb`!+L3l_tfbW4loohbN~^(x^ylXXnZNQ~7mn$qu@lX0R6Z!LXg~P zKKh|79MC<58rMnUp(H#80-Fd1eFx9kxM3N1De6j5lW8`|;U=nAA{H*v{GY7 zl7D4`Ml!e3^bY4!%r_>a-w0YyB7Kr1efAQU#j}=p=@-KNu59>RZzJTt8P|q~T}pvv z^~}?b1y|!bcM}we7OFsT?9MW=@=(hl9vI-T%+>%jQxb675|}(MS!Q!~HrL-HX%rtw z*9b@DZAc!3k2=j;_ALwSEnn9b>;#n49ad~bA52*=*r=bml)*pCZ;ZPG+{g(3RFv#K z0Q3C_tzx1dTPC^25B3eYSor8PkUwLMM|x z{6j5e%Q?=kLec99cO%ifHU3K%35KS8{F&L-^QIZjIAzDWDo9*6=V{QOm|4?icSX@2GcJnV-ubdMpk*H+^SoM9q8lkAIhE}|cf>gXtJzBidS5Dl=?OYw5X zd+y@X9_$CdeBxlB#Dl`O3lO{vD#U=C1-e`AbD(YqBRUNsXFaC0XP^%_4zFr)0`Tq| zwHE|wAh($=0acLeBQ0f;%e!}wt3EBTo>Y3oVc1J}hz+cQ!8bG!nD28jFO6IBCQOj{ z%!tT?c_~2wwG9_1%Ry4h((+Fi0exy~a7hH!L0ey~sE(0o1LB~!E(<@F{+~Fg#Qz9x zcs(_R_ffZ=tzCCIbQU$LsNFT3fEoPG@6h)_ZR(Zn>Myqd+@GJ&r~Okk)elLCU|)jw z#7rW%VH~E6?~`8t1?5xZ;}uT2Axs_zcB1@Y&3gEjJS4AYqMIUR z*t6;-<8p{fRbg77Ft9wRQoSV2U}SMEtWd=o(&InU^b!za#)2W-ZtY-AZ&Gf#$*aBsDHg(45j#M<{baTV*lg42P=S)X`An}#lC|m ze2yH*AG4TSGp>?%71NDLvyCbcQ43+CpkPYyp&6sLPV?ZBm5jJuq3GNdbgp(B8rSbSKS3@xRk^HXBYF?GPnscX}R zQ+41#e@<9s^j+LX@?9hzFbzHI#SWP^%fRegYGHhOEkydCX)e+_oL{ZO*S|(FbiT$Q z+bi#2G(cXboQ`tWB6Gh7dt?Q(|I0okCAd{=8#@@*Fb(O?Pap#FuT3X#j3WDt8TwlS zJTiP8GaKZi)W`1mcbNgy*Pluf*WL<&fsdiNzU$@WT31G;2p1C*n=!_a3~V7VXBN5f zG55|!st6bhmbV!VBJO!E`vgrVu=z}~E6&?L6K7YX%zp5t(Cf!`j__0Bx?o^I)L;AE=q3Q%UoTc(tm{Y8mazhD9IWDD10a_1D# z6^y`x(-Xu{`yZ44sr@6&=0F1*7KVcIqxtT>)4Ez)aZ2$R9IyF3;l1+SBo1h&~v+PG{p~o{y=}8c1b~Ty#f-dhmt2!CGY;D9fvH zzcFGq7gWxC&h9y$62;%*v5ZtC)df&oqO}wQs3Xke2zhb4M za%SkjN@jyZPbGP5e!X-Q8PiVA(*I`Kf~46TKcqt>J5%suFPTLXXn=fqQuW25H1=TT~ z?!sKL$6~C?z5HiTxvh8-6StoUq9AB)evA6Lg)|~{l$sbfj`NmHL*82EA_GvR&6Pp@ zHNf*AdRJxYI3PBxXTN<=H3*t}xhC_20dr=DdZyr-Cbr7DEiZDJ(0!*8gO)H|6FtieVep=dgTaV11@us}zAC6#La zCoIo99a_UzjY{$X?oc~7L@^~_Bi5KZS=L!8rRfAyiA&m_c~eeVe^3-$-5&APeT0+M z0CMM_!JV%?G%5hBNHo9|EOyuY&=tV+`7Nw#dlbPq<8Hl%7`g0G264$j(=$SgH^Q3t zQvI{F8sPbED<4-R3En4rt(S66;m(~K=J0)s{%Cp&-i)+D~Ds~iJCX({uL)`neB`4IDqN} z+mC^soOp*%MT$ghU*WT7@pq8rd*!XjL~<_16K+e1Y?(#RSl#84^QcT%HMCJ-rlByz z@`ei09kZ0xAjf6jT@e{^f-t&pK^LDpFhPfcx;KJmFh>2V;iTQ2&&^?trwj^`BiS8eqP46KiJzXKmb?hVXp^^wu{(wIEW3? z7a;Okj8RwjtWxrk?N-`~GPEJU5UBksA}+Z3Ree;cfW%O3$nKvs(@Bjy=+nLC{pIKu zdg_lh)V|G@OCN{2tTzt3!wu_XT6YqHjWin{(@j3OEbr3&g7ze7#+%0I zd>oW>&x{ifN&j<-!?VdMTi$|QU57qEztf53s&*k%fhtKkKo|{w7>^s^SrVSiS5?29 zc$tFplJjSm3)i)#BU^VfGTJG(Niv}A)N`0vHuB0*82lWrqrgweazuy^)sPk1cNQ*B zZZC)in4C-$@2bCvFJAIWCySh+H2K}}BykMcJ;28-d1%^GH}q!c&e8fn{<}kgR6UB~ zeLqqp>Mr*OG_nSDHb-J|*{(8u$RiuU2zFs`MKOaJFAiSgit9h|z;ksD;Pr8z_p8am z@PD47&Em`i%++>eFq_^2)~7A?Jima&K_eFV&&Uvw)V=FBieZqn)VKl45;*_01GUHSDt@NWZu!jTR2#Vk+)U(3K4OYMV9U+ zVk)C8jUfdw9-B6E#$W*-*!APBRt)=Si5{klooS5`)$3CiAwMA0U(*66 z)ugHy3d|6{UO`dS-9HV>*M51MHT^9ao6Gi36~LNRlQ*y?m>@Hp>vk&roEyz!13(~6 zge@{Jn#SIDKz_+Yri+EAQK@}$)AbiVVH)(3(0rNaL{g=_0a0qy+@iNtz7*t1GG8WjE&n~46bPz z!T8nsTVfFniaWrbRTLaUw@Pz2P$-AcA*3OFw?`wJYn#GI{dN>uML-hf5bHQchX%9Z zCyUffv}l)?vn+mxK~U4;=tGYhNOdHzhwkTEvGF1(J=OdTms0wz-cNnaSev7P=~AOe zP4}xc+GV^3(cYVk3I*i`9ni-9-Xz2#(yaqgS=6}w^1ML5;#xFnt8R}~_BD!S91{9Y z&rZN?C9sK%%E4#TYC+X}Nr-~OyX|kRRqF+agdXB+(&nlXIxxCqp)&BjJweSm82yg* zY>gdI4k9Gvt3`*?K0B3RK372povZG+VXXdVa>@E^vBf5`AQXp zkbO{~HOD>Qdx>N5pz3X@$1vM>+KEpCR7}*5ywybfGWzg!26wNe^KNHjM+8i@r_T3& zsrA(G@a_AT*I2_9PLIFKs@@hoOBXSbNd*6V>#n0(9<3X6WB(c3B^kV7h{W3@c*Ga8 zkR=nQXNzGE0#Jb1$oe)f>gzjTGWRupjCFy07Z$8OBHr`|Dh~(78FItkwg_(byw#%% z-Nf6*%80HKRWmr$pC%EsS-xna)+|aL@L16LdA1M#QVnqSb>$?~@8Wj)=OuUWLoj#8bACXyHK%^`5f`!*>SK9B=9nVJyLXgFVM|?_tm> zeqw5$bNDGf_Mz#Mbo?(mpU8FYNX6&$-dmnQvwJhisuQrTLwG9u>$#51R_IqBLt1|E zBpLGv>{+Djoa3f=NuNRV0hOAYW(r|c$%+~@MGU{LzPtwI z^XA%zdr4DwUEfozJ@shY(gRR`kj5Feqwi1X*UDp4*E$xdO2jL{p`Y)bHWzHODoQPx+Iq4^#-n(`wfZ=!5H6*rz?2-@Nz7H(I-?RIc|o zT*r`SCxy;#>H!pgHq)?V8yy_f@(&od{jwE^W*2nyek3&fCom%5Mp(pnh#{j1{uCGiuei#lEmKe4weCJ2ZF`fK?&qif zPcD;W*5RA3B%yZ^{K`|#qq0|a=?G$YZjAZm;C^2NEViSXT@$wM?Ekm_ek0%;v`Ks_ zaOWfG_C*0C$4i^S8Kas1MeTEQQuLZ+anuzfH~dy6*HREbM7Q(b?Di;>(Y1< z`#ogi)FTuR)ipI}>($&8vq$7$FZxfE7C0MJ};5;P=_Yjoa*x+hQG+l|FuWUV&oG;_v z{sz@uG6Ce&Xo_HUb8Nlew0I)y#rvdY?9;12NqrV?ozZ{vk?j~_h@hAzXx3apquz zIDDSgJjmu8zC{Q8-5?AJ*PLNkR_42rnuJXSghX)LgbpUhz(EMCr}-lNkONF;5)HP= zo7R#RxKWAct!kh#UxnHkLBCu9{_C#1erEi`7!rZ0hcII_Q1 z1OigOL71e&-=S(shOqqTrUM7Zgo*3jPGU=j+?ll?2wRat;c8^G$n$0%y)RakGr>k{ z=gB(=qGMCQWW-}ZroG~YI0|W#k6{!7@mRcwTB@Ofa6g;#GWy`$`23~K#lsjA_j@+& zDVlEGuYv}94<4u}7#+=?eC=$XuVAmhKCwbfU1po^Ecn6F_lIljUZm5A+C_}{P0VP7 zhbE;CA3MoM98U)AW^;7%Qu?;~*F#ESUxtyIyD4*AR;I!>)WyJrVoA)ofgg&y3^Bw6 z7xxB;8#$O8K;x+|!Cpqj?_!ATYC2IqXaw~cDFRTqEbo#dV3vn>ApFyh6J(XzpUvvS z1dl$zUr`Tgw9zV%KDf};v_BK!7O1+EW^<8d*;4}}wBW@o)S3dn7)WrvPslcW6otT8 zpx~NEE+ma$)pPnpogH-OLSy@U3||qS*qUCtJtXK&@O>AlNx&}{a}@bamMH;)fVrQb z?rN*udkx0DXd$k(%!dg}5a+4V=g4-?`EyK#&&PtLSU zV@z#9J(0-lzz}@0UcbLVoAt#4(}(*3&4^iHtp{r~#_a-IFO6qmk{I(pCt(S5lT~;u zM^GUSBo+|svQ{!FqrZ04NgjUZEZ)+LB!VKAQ?9Cp(7rITiS3mlUjH8Yh**n9A)8hc zEQJfy_47|B?5R6M$c)|AMz%ipdVRfB-BD+EHI~GsFxXZfgD;3vK>cJw;LMY@V|&HR?U&iuyqNK?#Q3M%`=& zbkD^1H5l!Qo#ikbJ@`;dE2OC?d#0iZe0{_531?Y5|5i}XL%Kmm{x}rlP*2Uis+Z&m z)L2>^chuo#J(9s# z{*fdW?ms}P1SK*ph?n==Vc|^e&sM@brLSU#!uo18EBeg++|1yH4%{^KK`1s`tnO4T`{N0##RHw>)P zf+8BfJ@0Fe$CIZ767oZP3YAH!J=j3>nubcLRhWzPM-*j6tYcLB0E%;60Ss9XX+7vaA!3xU@qgs5?nL`>z-fa6Y_JyThzPg6f->UtF zI4s$RfI^^kM`lh4I%~v!YE~%xs*mFwM0Yi+TJ;nvnz_VwFS$d~rf{wgu zvkrM8^dbaf^Tl;>QVC|C)zz&~v>8a(oTwYVci4+|h)8CrcJ_-P4tLRoNze@elj23` zMhV?1w7Ei9M!Rq5NcAwynSx;?I*xcl@ez6*skdTH4`Lri*5cko{-*Vq6Wd=E?^V zL4x*<__4U-YU1rK38=i9K>N*{dBPaJ$FOf^3i z`mdBrE#%xRighH?HL&N#jNxgZ>(O@obl0zq8t>91popa}+2e}y@`vO`g{Wula%|@z ze9Qm#kAg_v90)3I_$M_dfX)hy?}%WBh=RA;AdVH7ccu6{K2x8#bJ-|9382JW*-$V@ zgb~0*x;-ZyNLTRX+nS%WoQIw#ZsqFf+>JUZRDnGYR9V zw3i?pbp@EYaXBsrx|D%x8YLN~g&dwZ+U|S>`7RVP-j5{1Aqx{ZEPk0ZJUn~$24 za?i~x9|(p@Dp4xM^GG@V9rqgQW&jjONBmvGgYzQ$L`{~ZCABA{sR>35e41oKe0&q| z9=@+e0+Gmukefk8apRrZfCJ@f{X@4H+rApu{nPNxba<_F*lv_TG1rjri+fz=Qa(8dsc9#Yus-z`8)-58@?wbNCA7uqLueRxWZ|VevJRp3v}iG0 z>B;Q!mz8Jg4`Jy)YW1p7w^)jo@*=9~uImcQusxKpE8jZbm{gvJ#jw?=ut*-LkSJ%k z`lHw1v_rsX6sB}Comj)(E!X504RAB=OMeJDFbd58(|`oS2WSKIqd^}n^eouHtrN*= z6C3lp;b_j!z;%Xv)&8`Qk3+P_PGkGwXd{*LXPO3+0)t$3UmS-7X{e&-NOa9Jrhq>4 zLV2(@r-aWiOt_j|yx)#xjA7VYvL52T~5EZ{w3Dd&tFRImy&HsISIVCHJ zVx$_Q{TFLr85CC#b&2Z`BxsO9g9i-`gS!kC+?@y-Bn!%<_FJwY#-j`)B|5tL}dFUY*SeM0e{MKI;sfUZK{HUa`?q#t6+9;dGo{ zAF)&Sk~pV1mTkW{&OTA#$m&T-ZyoxNnV;^Zy=~ADc8e`c)3P1Xu-uksm)a)u9Ti)A zp3|%Z9_0|+X0P*l1pFCJyCeOZbnPXUN*G197sY}ES^Q)GmQ~~9EB)W1z%%~^f3<-7 zGrhQ!*ND}Ez8-7^)iw0ZpQ(WCz}?X7%M((N|I0X!@tt4KEJc%l|CJKz-@;i#cwZ!?-r;2<+OOf z%!|4}ejZaE>TGqYV*V?#={><-I<;W*T-dt&J3h{6K4F%_bC%$)a?P!YpQ1vkt0$`p zKV9MPj&lDAu+!moo#0iiYdrneIUJ+(TMD{Sf4V7d<=@UQ*46oP2K0$gS^rNt1?73? zG4uK4vi1QccA1cz)1JecgC(s}xE87oiGK)chFAe3U`Mat`ThZoA`cJco5DwICl zlBGPLm(qFtLGv?A0m`^!8>ipRF^wmD0{q7t`x+|ghn!dhBCZ*SqkL%_d1QSlgpbA6 z64)e;pX4d%<@_iPHIbnMl|ovj8F%>oxca?ERzD~*P&c2I>$%CXhr9})^yEs-JXy4Q z4}JBo&{M_QR5)o*0OBh_N@f}oT~_;OvSS}7aa_O+v*D0JSrQP-B0 zZ&+J#Xtk;(7L{rONfn4~+G=O7z~tfr~I4BG;{jubI& z$bQJJ#}jsP^9NLo6%VQJ2JydHt-5*!&pNY#5SH)&YhJ};bU?#x=+ZCx+U_`W>LbXL zb&}ti3-y)VujsEGC7^ZQZMtWgIQtkpj8FQ_{0Cb-&6tQTg(NlWD$7q_{1hIrW?_8P z;$pW~gNBAW7CxZ7_DLh01iKi_sSdUjC~tT>;gamx`P+YfqaMxf^?snZ0WUiLkr6!I z!Db2|(C?m~ihP0k{)FSfqpGG^XGXry2K9@U^fIrY(j;5q!^OBJnoa#u+Ipz<&wCHF zdR6Ftcc+pW13atAheb1+L+Nps8<-Ksm)!8497in;JmfD2RNSzF9Hd=d5!uDPl=F>i zX(&l^)Kk<<87dN$Bm6hwx60z;=xpv_|`%)cte1@o%}g!vwwzs!hF z;ypqrr~J$Dlys(;-F?mTlup3Jf<>Xxr4OF1ponP;Nf{}3QnfG@p3ihDq zmY;I|8}y8q|Gx|(_^nfVBJ863kL$hNKf4;%4KV9gWH%M5MChaT)K7PafxPi6u8iB) zZv$VJ@4aOh(r!5{!satbb`)9Q}drEAzK|iXVG=Fm_`UVG0Agpc`GdK;o|B{y_;x-`%RX+_$^R~}GrSjRA zK(##up`R10LuyYxpNOQX#TcM`&b{94uO*6N^Uwgjm5rCs<*}kq`R?;(BLg{*~G0=57QYe%9DL%TdSx+hp3?bqIiU;K!P8&&KUiGK?zzSi{&rpI<{%h9^J zg7cE9s=W2CnI_1il-Gd$Vr?al)Vd_0qiv#DHQ!Up;w!>#*moGKASGailOlr7T-RJFx@BbR=4fK# zGfaoRqY^i{59|1kX@<5}3mqvynVrN<$-3797=%r&6o!94)InS|7Qqk&|)*+o`EeFvmN4-a^wT)se=79Wb=5oDO^p_Ep#B4^je%KYYvR9*8Xcwr8hEZC$4(Y z%pog5C_0W}g!Ab>W!G>7P5AP{zggCqOMbapg&Y}J{~8cSDs%)O$AxUCs2c-b^2@ua z351&1nAW_pwU;cvt+@z|;;`04x22bKm9&L(ZH@@MyYD1Fty&;b6~R;sRn)V5LRfuR zK-%heik0lgjGM+GpRbWcQ=4MV*+nozzcIF}7aPd_g`oMHSZ6=Mt(eczoq!R7Wuaoy z^fp}N_KhKH|1jqIA#>w* z{tKr^uL#YHT(&0MW*yilfZaEp^rGmiIU&2#L4jdq-A}Ow+lH?zqQsfk=T@KPX3nyP)Ln01M!T*>Awai_PhaV}JnF9JXJW>5QQvSF z#&HyhR^`bZW%L@mipTIPoSVaUZ?U*&zaSUBP2+Rm6*~jq)G}LX$uFo1WLv~i3{Kkd zwr7S1IY~509G$?dXaqix%*w32TC;#p>reipr2U75nrUlgr-**yN_d4}Y4ide6jq$x zeH3vs3k02?u`1A%3Ax|N%LOXR_0u9e9tdQD(GQvwmW?T)x9r$ycIOMRFR|HZX$H4+ zK?+(O@ch6shI}v}Mno{G?DE9*IbFLT8Qx%_FF6jq*w2nq@gx%1vIYw8w}?Zzi*;}n z=cC95i8_0?2$u!LZs=V9eByC(e?$37-*-KCBC>2j_&Q&I27=VIkGEAXncuP8h*nnl z$osZV&NXiL$Dcwq%J-!oS7@VQiY6#&23K+xKETr95U+M%8Iu86!p$*K)r;@CWR3QnCQ8 z{ZvpxcYmJCqapxf%dp5q=A;!@ae7dQI_$ug12 zH<18==VV`3jsjhhZN5~$@P9Y2iTSs_K<%ciqp;V65O}lG`Obg0cA80ZbRAV(*C_;| z^K-Ng6n`2u)_EFfdYk(+`BW7>jDF_f%K;SBU!6N}s=k$XNVx%{XY^*xjRYdT)qGxu z(R6)cDZCA9t;LD!qlk2JEHg@Sc-)qA0V(nu2zlmYaTW3d)qG$L*5m&CY52b| zo~1WRkv(`QM60`%8=ro;RVwQ7Br@+>H_R~UW^-0SYaj=w_vV{a zI6+IXeY*2&S_1E%)D6)t;LAwc6Px#vU7~#$5Nw|XxfD_WzOOCgQxxbZ`zr2tNQuAm zDzh4jev5k92fle$)YqSXMu6Mm3T&n)>J9tkr##730--UtD^sxJY)w>G-Yll&WIVKM zR*5In=}ztm>XJbvv|Ii`d*9u;1)z^-(-u5u&l5E~aWA27wJ zvp?kHP(B8#wpT_RrY65ZZ8$ABKgUV}D%!IzY=+@Hv}4~Yo&c9=$%G<_;0jmlL|x9t z|8Q5|f4cG`;r%;TLN}}=rL6=B#3jG^VeI8fAVksS zBWi8xY&p8%^SS7vCIz8{)RVQw1|xrtPelEdU*Vxu{hazCDo1{1YLKF@DMetnziuG= zwP?zeJWx_PAv`wUi*^f;|0AzLDHCQm#Mkn`>cOb>DcW{UNur`A=1Zn~rtFV_5w-UH zp!cA{Fc()m>W(7k%HF?TYm(tvkIlnq7`FJZ@Z(?uG*!<|UP7z8q6eGvI4a6m#mFAW&YWr)SlM@=|L!dl>xV$@pfySw%( zV?+3L1m?*T#81z1{7Vda@D!gx)%OO%5dxs9b#mmw{p+qI#rkd@P!=#Q$XWvvvJ=pO zZi;P=V(5W%?B<{$Ue@D z(h`_;SG0NVV&fC38dU7dn7`#dt`0n2aVaWJ;GQ;8(rsU39je`18ow z4+IDUc@^J)OyV*8r)?zFFE>&^ypc3O!;wi6a8Xd$7)Qa(>CZgP;g621+uT=sW}3&N zvGp5gEDEA+YMBBu5`mc~K*7OnXtsLFX;wiposL6wPruN^6Kb_TpWLId-`=^bja@1p z2pEPOe6+`0c*(ml+?htnyLOslO}ka~VTRYtZ*wr&cC=PeMKAU1E!a|mKXpipsW8%W(4rM+e!*{p*?ySvWA%*`L8-RiFruOA47Z&r%u9y$<0&O3g!m+FZXz{w#k$^mYa8_L1o+A4*Iks|ppu8F=E*+_SiB~$HGZd=l)cz2FaJZt z6DdrnqT`bDuw2bK>ZuPi0%(3P2my0MI5d!p);nxHD3z6IW{a)&7vh`@`&s!hK1&6S zJ#UbHHXGES^8MwQo#@(`w|a8>y_SQ&XsKFF4+@lt)?f9HLlsC)WWA?@R#T?$RXDm9 zg-(sAqh0D=DUlETXl$vw%V8V-emJ(3!t@>)zS*dXEuG(kw0cfW93J&P388nGLjQ6| zox02AG%DoOm3GKw#5Q8;xTznbuTXi1ZlalIgN`%faDz9OwYuM1v|qkYLhGmU%}RBw zCCWzb3@1E;p3YLV-)eV6gR zs#9Nd&_wPm(?Tg2@am7h1aad@gj8kB7{2nktwz9eG;l{`$R7MFM}{B2!rzj!q8_|j z44-GyEPow|KE8G)M~duDrvjiJ{475pqvWWo4pK^I0(CXZcdOwN@T~gZ>;*qG+tVqW zrxN>Pl1kOmZu#5UsTxS zc;Oo}ZZe;DL(PyDkP6*;3HsOF0?$UA%1*0LX2%B^*O0TpPk!{Yi@TnAznRAJAs-i| zk>AQ>57%*1>MDsds}LUjohAg9c&Vp9Phag~KW|1$d^eBb)Uw94u)}6%XGY^4xix#a zylddWBUX$Wl32d9+52Jrz;ak1o_Y-w%>q{SiJKG7{n!Vl;5`dJT3BZY$J#cUXutmm z&^+B0{BR0o+QMD_T(Oy0M|K z1poEt(Ay2EyG4OkSH`97SOpEnJ2I}|DJMd~+tS_idkDHMq&!nEZ#(4S?PFYOl+x{f zn6$gu$NmJO+<*8j`^B~$^fb3)SqNJbC(-}`LMs3&?ap(SSz~7^G`mVPg_xZFEEDFP z2(j<97;EYA2B*~hTZ(5w-Y1lxNveTA7Ojq$eD|hbUh+-k?$7`8f`Z1}tO-yV6l!?K zOb^CfF{!E!N(M;Jt=BKv*NJ82i?QU3AY0O~>B=-i3TsrpAIqy-o1Vg&1N5HY(!Pc6 zpIGtH*bGMai{khuSjN9V4nUkYdr8YAVVZ!^W7d%Gw&x-pVR{xl1{xtc@5Qp72;li# z-&vm_#13Oy;*%=sBx~Cr18T@--x0Fkx4x+C^;1uFLh4^@xiwTAModTYA9k;!vJC7= zsh6-}DNN%>Cm$cl95j=FhicMdnmCU7BG228LJFQXcd~R)#nzofp-=CEeiw%VH21DY zUex4;c+m1B{7IJL?lUx;BjQV97@ON<`~%>45}5`VWMal7>h}6G#=LZktq0n3B7`PT2_W&RJORXkI;;>#|Ck6y;a!UUF)&zU)vh)4E7 z?k1_MKy^fg_R}tt=JZhIfKm_mG*e*XX;Q95Vo_n1N6=}hYtg8qnKvFkV?yq<%Jarv5! zya;QQ^&Z*q;97@8whOwo*o}lY+z9M>?_56*|HAiFqqJ%QAWaZ#gXfF#A&AN6c@+#DNZH`)I4p z@4aFTd^XQ2zkjO<{eA$yy7s?CtyXc=U$Eb|p=WLqP!rpFm`Gc-r3E>v?ZekyeVR?} zzj=6C-rW-2iJ!C$hR6(PXtl{W))#g%YgvTbtsU@; zdMux2j#~~i`VIXoWxNn4DE&eg<>J`7RX0#*)K^2wm{wG;TC33&Q?!h{$1D3u4=1uYs$jnv zp3WiT1`x0rMpv(+zpMqS#o8*&vsdmoQ#w zj{JbVb)yKq%>F(D6pK*#gGbcp6E86xvV? zv%kWcZD`iR@Wg1D%yDy=l@^(1K&Z;y*diL-vdxkeq#0n3>$@Xikr^!M4;Ee-J7rf} z#f#%z22tKnS^xSRt-C#dW{B;6s3J;jJh)uF%$c9@3d|!sY=)^klGwp!lZD9}_MUg} z{4Or>)dUA?ZbWSe_Mrhz=xMU?r?GFK#?0hsLK_qps4JRgL*n5l%mIv*V4npDw>V|( zPvCjS@S`*(Mq$4%jL)QRr_6QK`Fu8Xc1sUaPo`>~vo&E(HXCR+vT9?52rSCQ2#+}7 z7WwzDjdBIgNiXD}`j_VPzJ~PVJ%^_eYJ8pe0X?CH`tH?6Bj!Cv{zaTaQ`Ap8AMU%KDD~EI@pQ*6=_Qp)H8>)pgvmM4> zRH}c(LM%+Zv`b7^?PbEz4aLCA$|wH0!jx6@AJbcl&f8lASO3?xafI4;_zlHa^<&RD z$C&@X6~&_^PRWutgb@C_P%8KHge+7NRcR6s4$2pS%0_yBLseANd7{xKckeX*T*)f^ zjg**ma=L&nIOc^+fZg5J`w|Z-FULqb2&RKYYO;$QS${tdS5=!rgYlfkyGW88H%&dK zh4~+tkA~g-s@jQ4NPdKWE=&``@)LENCCv?~DZl%f5ej#p6%p)*H}C9i1zB^Lknl9pBGLBbhIBl?tfA--n2ONAr%ST?@BTq)>yORd&sD@2>C zHWAVPwEg|cPcE3yFFsau^L8`T7`c_g2=h4;Yn3}93;0X?S$N^*bArM-^oj@3l!skz zI3Ap}9+-n^+Ds8>y`*&)gn-lF&STWE=Lc@NkGr_hA@ppD!gFVT1U*lc*%$!_e7YqM zs|vd2E#Jg4RPB@gc*jQd;l>!RkVk=zTe}fv7~oQ!I}&bnBx} zn~Tw_?Yd5GG>C0Vs|+ozH!O}a5i5Z@+*>|N%|=3L%+B&s@*^g9-{rOEpHNv0&& z-PRlzDcj`o9AI%L-An#5y@47gPrn?8;q`#o4j5mByOqxha$BKAG=fLnBPVVbFrGem zbcON|+(*$M$*fcLLW&jxN14WxWfL5pF{utl-}l73G&saGW_E|En2fI9ek0ZDGLzmu zN@@_{(iN=ETO+;wd$e%$)K^=?@;ihi={+fs{oHBCcl`I32T_!Ifyyf=kc2BV0uCrl zf%%3Wyl)vR0nvoAcpz`6QlTqju5tn~-DgY7eEtt?S-AL1$(D(jSy7PT5K z-RA>ZSFubek!`+W7{BmOx(r!P*8lmC`z9Ul{EoP`2!P_!H>6h5mIsZn4>5PDx!zPI!q`h8UleH-mk{FhCrFI+8 z{h%{eSo%=pv+}Z=?r431fDqPMp-adB?w7~4No8)rABv*oFZtbVLni0~9;8!=`C zY@|?BRs^ca6rf_*+}6WuxG`x-&770f(G_!_C8H$y=l&j)qQEV4)p0(i+iLUVQHriD z2;_zYR3(LR_4+*bo;Wgdg6I_@^F9K;)Q=ZSbi2beS3h_SR2mY#*Mt_liJDAf9i!Gd zAyjHvEXzOZ)u;i+7Q_FtwuF-_3`ay}y6xk;fnGHodUx=3&%dg!5d-tq%$^Y}&Xj6^ zJa4~!erJZB5ix$|9{INLRd(P1=t13}jnqi!Hp?PCA*+_{#_5m znsNmWi6-n_Qqfq@v3jwQP5PSS<+u$U^zSK=S8p8Mm|c_0l-xDGZ>i(+)y;GV`9oPK zmN!%R`7Y2CPw)XCi^isd4mv$&ZEwEU9tRfbBdzpRbFSL5=JOYZZ}w9{;U#+N=>8pz zJh21dfVXLk0F%kS$%l=(k?Au7qU=fvXSgdIo26tv{3^ zTN!tAm#fi08}yz@MakoDMhe=phJ1dq+$-x3J$wPX*OD=kYxc8>QTIM!6?UpcdH_3vhDqLz}A5aBx za{H?Gmq4*j2!0s)qg#+m2>g33!?XSJc_f00swV)UB5hV@81Pf?_uJ3Ij*ER>aCU?v z#zfovQ4US7T7`6D6qT~{W(w(f1}6wGrtlh^dG>QCnx|-%lh!lQ`6G!DzXqR{HHbCR zfP2hi9(^Ue&Fh#|Aex(4OMvH88|qwI3M0NZ%h^+4$pw`JqVo=%t`+`O)s!fuhGzv_Nh^uSBvr?xQiQ$b(Rga^p0haM zLyC##G(rQO)T)hk4I0A;GncB7g^nb5dXBwcr)YXSesAX&MJY&R{wr5P{aLp*DK0|G zbDm(O>k}Sc)CbVnoV11%%)PqMtVGzJRsWN7vVgC9U#cn3Oa7~Gzn3I`Dsq!kOgHx0 zLx}YV1KC9*GCbIj=gy6fF>H~C>zd=ZuzXk!JX%uS?2YqV|=~MYih`a?reb^XP zG^OtP8C@e=Rf_(np+yUu&Y`~LN>f@rrt5D8^3&y@uNvYkT|ZRf6`C>WsSlk}Ew|c4DWTYWKtSU!taw zsrBwp76n>r;#emHOJJabS=U|nysn;P0^i~KFG$;i2FV4e_V6Y2>pE>X&AlZ;lMMLZRjBFeSJAtXYRKUfyVAg>3wa&8oxFlyk8@ti! zm8x^VBJ%G?Oh3rotBP6y#65V(y4CKye^*bq3piRD$w#Q$Z0_ABH7i$onBNYLwNMOB z6APrwJv%*g(JGd=$E`_Uigte!d&mA3!6mW1xiFQf93JKoqHIGA%kC`^o1A75@HLw9 z^e9ne$|k0YOjw8Icyo%K%av{g-{=&X)Exa#=)IrH*>zu+e?%UAjwQG2M= zZlK0BBZugToIKc1_accl9d&zd5W7Pr1IKaJy;QPxzR8~}qRp(QGf0XR`q;`nHP`+w z(lR&j{!9?uM%(6%c5YUyBPqIJ4(|9(WFqp6PqM`fM zLUM|S6d}y#mX}f_kSp0Xr@$O#oGkks5-efsY;wGl=*tz)JSSB{Aik5c@@>cHl4fMx z_`oMUbEPlE?8(^FC11&;w~Vksf>c_H1!6Ni*Y;G9rK&Xoj>#rkSL?h}Z1LfwTR>ib zy`de^J098bAq_Hz+d?ngvSsXL|0%LJ?<)7#pdAQ0Dmjknk_yDL;0)D1Dn1U~GAC{F zLza-4e}HTxU>wBX3rT@w#mePJIl9p~G3zT?!v@1UCC z-prG`=WOnD?a?KkM9dO}F37eDDx`FsOS!I{03$99pTZc6sy5dQO03~pzsR>v4h$#Q zo!xzd_Hhd9Jdd#;(q+(UhPMci5~j1*4Eyu00+L#^t{qri9TP+{C5uK(Tg#f{YP zZZ_N~j+9A)o&h29goIY$zD(Ed>Zj^H4jj9hjwjExO_cy?3qbBeynH z5O4_U{tXo^#qRjcMw5RElQ3J^2u(dS83+`Ns)`|s$;2S25mff>XDuLo6;d*u1lL-3 z*xzZ8L}hu)Q`{imIPsjPE~L?9+EcD&o-uJj{roX`sGFnHeND_QN%P?zi3NLudPvai zYuy(#4D_^kGD3o4pW>fN}3SXxOPH`4}5_<27QV1IMI^{lhJyv zsfX#!qa_KQ&o;Ux($U%CA(=A=CmyWbMMA0B5%p7?py{K`dXuJM9_oJbyhEpzNoJ!371NYR?>SKjlT4 zAI~Bl<*dGGB{UeEf=K?^Xifr^}x2$HHdW zzes9v#RJ3F-s_4s@E(6GOR4aB(|NkJlwc=v$xjz%@QY5E%S$BiA=2S2K=$@JsRAa# z76(C#j)i{8QAAec$1z-KpV>v^X#G-VU9Vsy+6d}@wtlECg;(~`k$eu7zs;n%VL4ue zcwFg=K*wb^?W*vIb8R5$8Q+oKqO5qCp|uw+bVIN| z^4{giAOQAkpBPz_t#n+Pb@OR-V?HVR^tyvLv$+ob3v0pj@k zkt(UUCCD{{&QBDez5;6{2!Xki0nVeKL2OolCf)_Mm3SYM=J#*}O9;NVvH)yLiQnb% z%zseGB_q*lq&&p`5|0mB;DG+91mawws~ZFh#_VIc;v6Px{bUg7p{3*~%;`VE1 z)MOP6R##4*`exKNuF4iEyaeRe2u_0i5>S4y`6Ym{ zMIWBG5ZaFk7<*)^YmC-`vPWz}zu$UDdWS>yX5uNgSOd$A&)%S&)*Ai>_IJr|1V244 zug&6pk#!Xb;Fp%k@LKp`F&L^)(V(#Z3d0KsG9y;%qQT;L* zJ=uI8Sg&F7jvH$E;@cn6jP1GWq4!iS2ckv{cpN9|@)harQQ_3^bjTQS9P_c-RF=}{ zVo+^V%Y8djIk((N(aOJ{@4kTsJgMVkmrhL|lL%RZyVtbdnH#JHRKHY3^;Y$eS>Iq{ z6W+2kW3i$Gv=y|i2^8qD&`8RRisrTw)33N$(D?f{JewCwCJ9C)g`fxY%G=GzNLp8~ zcf7`T6@lR?54}CF>u~vEwy_Xy!=rUQHba70{e}OQ3M{fWYr%vVW9gO+u%GYH*hd<^ zv$BK!s#@g{>2Q1lEUALxd4zt3757Cl>T`xb@?peNb48@!nc!;c8U;hoNl`Gjo59 zPYiIXT{5m(Leca6dyMaC%H)&`+3LB`lbe#AsD;={DbN{e_`5ka41gzz16?xy_PWt!n=b|VyRi^8@dfF`cx*PpRZLXJWY^aFgH@JcXPej$bd-^sBmdO zzY*%MKXt#3NCFhiFvaC=M{W}}7&?p;YIyk+q`Azjop~?J4IZOc0lJTJy&%Rs$P*j*ZlV{zbv|QLf)&0R zBm6Bx@r8mbG3x04)B=5}ci!wzqi`0`ZR>WHB)irsC=RFClA?Skkk|itDR_JwYyx z=3naIm58xzYUR%;$X?3E+Bi{!ZKj?xEWlIO zdy%ig30142zrbga!|WrvUC@#9!+hbwF3ZMe`sflaN*2|Jol{X?#U&iK3%JWGB_}-z zMTL1%g6uE*X{~2t0hVLHBb!2_!r8(py0NL%Cn1u?{x=!C$ zlMYniI)4})+1)`-t7YSH^}PYE6M3Q^?-khAsjCSu!B&e~uNv+5y1DVpgWnR^dNZjH zircbli*6G6D?}&U$0v5b5u3<1tUD`yTDPo#S~0CT&0a=N@e4fZzH9plPRbSM7+*Ni*o`{1rfXE3z+_q=V*wrL0Dc?jmo#BZo{$v#))GoY-d(Ik z#Pm<~odsdrVXdVzlEr0IgR#u9HSekw_}zYsEnkR%5e9=6?WsMi&}R*N>+dbAOO4kX zQML3JGY1tUd@Z2r;@Q|x{^g+(`FkwC_VMPzHZ433&55gFfRi7;tuPA#Y<(Zh)IR+h z702(8A%p*D9rsvp^LPPJ*!r^34~j@&nn>o7EPc28qbww1PH`gbV(T>o}-XCrL{!c))E49p)Foscw&q%({Te=5k z)QJc=Y%&8SkW2Sby1Z0tGq{<@_7dKEF@WS@NAQ@qi<9flieQ}BxM0bHtpANMDdk!@ z9s5}K-F;)TQIIl=Ol%O#r9d5BstlkLfvqFv)ms2wXiIO2+FmZC%)90zO6G_hDe&-J zFQX+9#m4F$m3;f*m88tm${T9k_21ncSGH_;g*Sp1>U2>5imVPMi!Rf@wOMi_oR_#X z08QB4c&o5f5vEjR)e-zOkN>L+Vtc_FDxK42`SqUZC|>{D4Hq$7wc>{2(Jq6$=Pj?U z5Dp>qFR_P7SaTucLRg%^OeNW2cpKJ=4MA6WVY)&*)2F(Gvgs;%XDHk7$H&RpcAqDPLeszY{{Ohy_nNHy6dX*DOA?;=W&C%;xzVDFzY5|+0 zl-^>;66qf}^9b>`RZLJu_4bj6)nQzM2=FPIH0r zL+qmid=dQ{MWvn@3W0e5O@b)EVTsA>LM^QK&NLvfkOcL?r7#z+z}GiB_1pZNfUUDRv_^2AS#^8uQL)8SY3j$niG{xpw9bp(LpOrBC#Xwfoc zQ@CFTX@+=aM(L;5MZtUaPFFErX%)f=UAiTClr*XPUuqlVm#W&O>jd;5yS!v#BrNse`@131JtnQ zGr=p5E?3;VPEKj=W{xz#Iln_kFM~vyd)U(ZCT{-(;X(e*(uVz7ADk${DS8uS4<=$6 z2SEy6Jqgnzer3DzDCh)0mi7oBcqE;6ayaTUlNI74HO|8BC>@k2BX4F!xfB38*uzGWul35z7(jn}&6f(-nh5ju{LmUZHKm^OMy z`mdNCsQ*t)KPFO(VuAG!Mc?lo-cWVZcoNk9!8`(_Drlg0&$7}Wc*?$tLoOU7x4TPZ zAJRcLWA1}e)}wQHWqa?|f|}-@DmnQ1pU?@!Gsa#)7i5Dn;vG#OfodW9DfrP9ZX0?Y zOVU?TK?|R(&Ld9>j9Ri)5a#j;nqk|7d?D)=#Q?<-%6yruq9=Cw}2FufQyYyD;b|Vk*?JFh$@x0zQ>Cgzikmf zdk86Um-CVLWfXYubI(Bw4f~i^H9EaR*jy$R zjrDS8Y0?VNT*9NzcI#&IhsoX|fBKH3SW)xamvzvko?I~K?kj2iC6=l)G)M`J(erZJ ze-Ssxz3F=-+5fGS>|1iz1cquX0y@W=?AcztLiC&1Ng6JJ*D)dS)%q)>{2s$QxKCks zKUL{Ag^ir|VvsSniPKoF?T99~EP&Q*z;1AzYMrS68SU}EMrh7!+7E~{=IOrE^sq{C z!UTK$a1}JGJh{GtLfOs4V2cDmimy`{jM>*3?VY?kgfBOXiMx)Pl-+sRHtV|d$Qv_y zNIo>ujv~#bV5N^R<8zH8y$Im3>som|GrB<0X&%yccdfC8m+QLh@dvIgk~<(=o-8v#6KkJn3e#&4FLd~gKV@;o9a%!ViNhM zD{v$rcgik)mLYLrGY@Nu>p@TC+5ag*_QwwXhD4b^agIS76d#R>5yl-E&*GB# z=(foY%GAaGnqFAtMG;8Z7AB26Z`N187SYE`&Vy1+`=lXiwf-Tf$zH!Pm#j%T$Dq$9E1J`_*WJPb8ZA zj>&+rOq=IK=+jX?I=Z1EnMp_pU3p?z5D8mY$d`3ZnaQG7 z9cy?IN4HXLb{AF$B1Z-MJ#34abLZGtu0Pc;+xGqZq)7g_6)*QDxs#&euRWOcyaAxO zmX`6Arc(E^sz#WrS}AZubxeRRLxH>Bayr&#Ce5}@!} zKc2~PhYPiST2klKd89$?OAtiYav9ly@o1U)(czd~mfWGIBxF-ow}N5!t4+dOaP$`2 z1WSwpVK*HeH1%o2C8oScwR(cNK2i&N=azQtM7FVT>Fm(pnR{x%4&LbL)YVjQ*{d9W z0mjU2x-kWxVqN1}IDQ6!F=@}+&;)XzU<$}}L4sHR2};6S8}oN$&Wl2KwOpQ>QlqI3 zVTE6YuUv=DeSiPtip9-drdb2VL>$GIns|db{P%%7-d@>hSMIU5eT9^{!lrWT-{kpk zKYm}rssx7{!e|~Kn-6EwFNii>hthMT*$|ZsBJW%IY~2JfDQU@XeeTkMpTct7Mqitm z2bq*6A+ghDzc-5yaNA7tQXocMq&8tHmC<=`*Is@4s;_08S`d`5FCae{zN7RLNAjyu zpl8t(83B{lP7q?*VxO-S zFO(1+cK=nf%mnbVbM^#{-+e(~AIw8aE$_=1-mujYf83IE()Y%#ge^43r-tNZZoa4` zb~3-!HG^7WX2Po}Xcj!(xgO?Sj{M!MDs?&kK~+YnY|YRfL=WpT9agg1_gzEjOkWQZ zi^b_hZP20?uxE5oNY60g&#%YXt~D)+Jo-oVUy1-RtIG;1^q_x_x2drJ z(C?sC*8ui|sRw=%OHfq^7mB>c=nh=!9drg_9l zUfn|sX#xCgM?H&HSWQIt@a~94&e|jh$H_lCstmbFW0fima%ChI57U;yoKB%s)a`WeqCauAjd{ z)PCQ)1Nur~GhTRp){D(hFx6e>@6UJ<88slVy#CWX2t{X=%9kX5EbDV z3XnGuIlB1#*YeNzCyB2=@Alv*a?{ayOjo0{h<(+YY)TYeK}t{QwjUs2%tk zF5vb4$Y&6&L72)}OM7#~y*=3BS3XwQ;Z+bvwP2u}WfLRz?)-P3nlHD1W~eTnQ~muB zF;^=E-hyGWs#n{t6F5yVgz>-7_Em9FgM9-p^WVueJW6YK|bbdxt^)V7s)$ z{En~Wm08weSoOFCEMN;&*`0{+-2Xn# zf!I1wkxkAwC**0gAL*kyD=P!G_dGpBGKZt6IOu4{k9Z6@o%9Z{=W56YH2-SefUw=z zu(0GcLW1ejWp|G{S5!Gu5DNFU%g9eFWqK0y>Y#bshYi|^hd@cT89 zzo=qL@&>&lr%1rD=OmxzRW+L=_(-JqAYF9xELYVWw42FK{&j!X$eL|Iz}h|R283Ty zyx+zLG|5y&{W6k!;PF_n9DP^Q|0*bJ)D8V1Ap;wp`g~WcQ3U!7`x-m!CRq0G)x-6# zy z$v?Y;)Ev)S%A=U1q!*p_luooE_H1+Ehon_)c$uCbOwhVW=M2UaV7#w zqys#J4p$I!%rX(#Y6tfqcJnLaEBQ^P-xjXyn$Riy-t#6l%1pFvfBeDs_QQ6m0~fLL zcefv>-ZI0+s@Z^!^ryyE1KM+YMOv#HCe(5tP>I0bHm>n%owiG^TwueN37^zXSY_Co z^chSQaQ1>o=sT-QT;}Q9zY8Hp-dX<`?2-pKWr@id_`0j8hWZ?)y2Kv^{R*G(7g{;P zhflNe!>5@y{;`}#{j`l-6Xz%izjZYdoQxKpk#0$R{ZGK&9b0on4aC7`0)KqA6#8q{ z^CbP%H)zXi?CJXbh0dz8$fo$y$u#7rpit`Knry{`6=Z(~IxpD^M3ZvvFPdJbYaUTZ znJ?^Yy!f9ry_do8jaiC$Z@rD%El^U>gSM_(?V)U_{#>S!6(2rHerW!4lymNSwv>P< zY=4Xx^kPV*k&Bjc%yjDGk|!}EiY4Jh^a5O8V;vN#t%;;oj6Nh8>+=UmYo09F=l{K7 zF#0sT&l)^^+Yta)J)#e2+T{HqyY3BnQNcnQ%{xG{oYDfOME4*P0x)41V%ZT^{s{#y>d0oZcGO#{s#}>5b z9i>$nwPTxpMkK(6UpN;&*dW#pp`C@VK*SH{$qkfdtW#b&x5szzl1 zL*K`|B3uNq>mj6ZuuQr(c}w;Ds`3e)c+sqy32)^hJc-A?&9H|D%CuJ{#hUw7Wl-UWBJY|ITp& zrbKb1_hhL@ErR>ol_al~qH50KEh`#M}hr(^{_`x z-<1a+Xc!V#B#&GZ6+U6JVlk+S-_)o(c#Vk8y$TUB>c&397NwepSxaY0jA+cs`BR0b zXZBPB>lkpoHyx_Y)*X9uY);I6Zx_}+pX`!VN9=0o{tVN+*Bacg4k7FSZ+&f_?y6RM zW2<-hwm<50tL8P7k{IMGxho2^B^P=hfFijlRZ=evB_~5#p_n?a9eVLo?TPRTO>UL& zW(*m)#JBLN_*M7$cJ5_i9-4%N4{=2?;(bq9-D~;i#-u1S*`p>6nGaUsPWN%UmN>UAHYlHwnuhB{52?9dD%K-yHAd9;My>iAW( zY|=b7)^bj7n&%ORWv%fKmcCrV;0{xpqG>Le$mZ~hcT_ZSLh9T&gMgJ?6NxBzY)g}S z{Iyvy;y2tNt)a>UCA%i^4=}VYQg&UMpG+FkKhz-|lZaZ5oF>H?tBzmXo|mtAEZq}n z+ zi|R^scfsQ&JG9x`_}luJ+K@GTzi!pDA*R+V_{ZtT;{;ay>b{M)qjhni)SuPQo;&KV zK2?a7^-*o);Omp~%X)eT6pSy9AOO1si3L%Y+qY7K#%&v?1pi#wxxhlR$WO$pT~g=k zH~U2;TVYL7PHyY^q?iz({_WTmtDM}Myo_`FMTc$YQCGUAW~Sg`YT zD{q?}Y=~>?-TTQpF}^*xNXD0RZZWvWx|u01S%r=c6$-Y0fzR#6$lG!C=pH~;5Ih0SUUJ$IPV0e3H}Fz6ert>he*C}zYb z>5E3LRP^T?x#K5bz%;1@Ey8;=GX{z zfIpk93KGq@P@r6RTo&fLJY-M~eOT7NE7x3UsB%!&uJBU%k`q!chA)-4_0$nZp{n~r zHS4CGZDucnx5%lrNuf|jj}1DeUBELXc(v`5pwEzTDcfL{An49u7b+kR`YHq!{zB2p zeLW-riJPPMDPFhCkTxME^`Q+}!bl4E$oi1i5_$>UOgRjE0Q5w<9F+8}yfFR!=H`6W zlt1n&fXc$OVSZd1XYbbS>tO8iS?yGSpi}glEz!3TMgp!jmeDljEIt7(QPHT5M063JpP{B5qyInXB$@R9NeM%Op$(Yd zAM~(7$(6qyL21EKJEThGWDsJFU)UJ6JmeNz2hL!T#ZJi2w)x9LFFl5qCQo-Tc@`^( z!X)H=)}$-W1?rmSmnaUz5N7G!o22$_D^8G*j0vPW+n*j;shwE(D7lOa%^1@}uwB`x zOSu|wrvif4^pu*dD!-PFM~?Ui7}cgyWJbr+%5FFUS~P8o~pK z?ouxrR9XlAGvrnzK5h$R%hEsPeA=YwZwe>`hmXxgeg1f+R+R}W&?tOjiG#2=l|2%m zRZaE2MmOoq1mgnDD?U0{>EL!?AVn&n|%`JV866qmH<%>F~~l0pyXx#29~BGQDm!utJW8VaWK9& z6`ra)uR85uyq!LkxK_a8R60;{g69#0=cd)Q*duz2_F{;o=X86^NBTz)TgL zrFaraW^O+)=VLTCjytZvCU@W4wzb&R>B7Aeefn2lq5i2jQi8$M)mLs3a~v}IGeE!4 zwRPx4YN$OUbz*DFJ~Vax%6+GQiF8)_yng99CKOKhvuo__rxmocog?0H(_ev?#z`|A z-4>4Z8^Ve#yb08qLJY(pL(k_aSJM1MPV*+Y;CdT6gYrcp=~87K?dxc8{k4n$-L`vB z_A>EL)v>zhbI|->p~|=3kFBd%Y(Y5rIvfe=<`a|RyYs;|1zu~XoOzI{p=7uIOB^CP z`=?qCV(D9u^g%Hz+znDec&Hn)Gj$IWSd3E>C{@ZYeS&{>^)NKMZO-fndbw!D9a}ZG z{1)%V@gu{?{QUE2e`!HW-J#oTv!2p7;`e%yelJL zLETVc^Ufrmz5vLcMR!^unR=%#7F%4%>}O4Wi?u_cgS1d&CWe>`o8R|gZ#JEuQy#~A zrYICz3WB#GPX0G`ff0i*{RPsgntW6)oD08&-Z-o1e#eVDml>`z=H>LHI=r7=@`LS> zYn@Wj?(YK&0+j|(MHV(?;rW2@^<#yPZ)%XxYc)|5M)jjeg?FH)0ar2)Hxc4&*QWL- zuZM$OSB^ae#_{*XV8yCyJm>S{1#^B#4wC&KG#mGST=WNc*+iky5Y+AgNs_&{# zZJ|m-o}fgrFhbr>=0Td=nEmF)qhsd@I+Nl4z`1^%K98a~2S@;_^TC6r3Ei|W@7X`# zc{z|@ojUam5&`^qB&~^}!J;+JM&&l%eI=Th4gfzF8}QpW$73}*42KvvAO+2cpef4& z%T97D-d{czmo5NF1I#pp2p*hTyiSKcY4%nW`?jJoe98+ZIY0ZxTz>?W5Xi_DYo(~# z1g;%O3~K!ocq|>b>uFs1uqQW_);gmYJ+3E_Yt)zb=XFcirH6c#V~XBa_n)m}$9W=K zE9u!@toC0C#vPk1Bj9adKhowL8oNM9IhV0Ng$EdCbnvgV+~8jwgW*&3&JYbv-Jgwl z8`QAPSjRdnxaY(?7y6Tmg9((+Shy%G3$>p9 z`*x}8alv^D^Rb`8Uw5d^1%86>>r>^@kL3(7^@D)BJ%F>@@E1Ni#Da|8$W$mK&^=8| z?hm!K1Nh;+aCb5-m`-DLghv>)TghM5T;!JChhyUFdLs(c?_%r8=(}hp=8gl35N4WA z&6AX^64aOaVz*rV{N;Pq%Av^g6qt6x9qmM_ZrI z(TU&feqC;mJoI)H8?&><))btWc^(immT{_c2{hV9WNRb$Md?x6M+&W{Ydl{Xv*bTF!HP zIQBgc`1R@l7q8hXu`VQ2I`pL08dg6Lxc23TtSQF*7m424cl&edFX4&PTV~>(2d(#f z;D4g(w=5CY^zraYP4N}>N3MqwtS7}k(oOrAooioKB?1YdA(z3B9O$pRzZ@|UtWt0B z`tcZl%{9PF2(8ck7U2s6bGG%1B~My?0qxg%FzZm-4A^t%!x}r=epUNvrCTA?LPQjP z>8#tsU=u>m11Ed>K~9Nuq3BBX{3rwX#^ij)7l2pDiPVn# znSOES{-eP>!X#FG)-?tL>Z_9@AzWA3-rk>0fqiW$S4CTSz+&fhr4%*A)~Wp@Lg-o^>CRGel^Q00x?F0b^rvzxctgE5WumMyj8 zFK@1&(+3ebEyDJHvg)^p@Qjkl9D3Fk#eN z+OjWL-f=ZZ_@WOJUrx7_Y8vb3XKhZqUIOuVS?Wwj$ZE^GE5h6`@r?Ke1-xC2WXuIv ziw2oeM4(h?=_p1QQU8+l;g63*V74a*V3n~gv2-nIB%wuf?E8j;&+w@#=3Lk=Y{I$} z`%+RMZ|iFq6rYPENih^{E52{cNh@HR?{&u7P(h_^6`r#Ju`T77)!!ORrsY|U%Qzm< zMJ03V3Z-^B@5U#7!BK#>EN-CZ>+g*SbL2IiHAkwsYc?3ivLT$}a1c)SeqkI2;>u%G zSOU6iB0t)&z564~6qinDSM0fjHWO_|V=Ux$t3U1&#L;e4VDj)Fwx`NNA{!hLs+{67 zQUd*RBxBqJ9S$s6B_^lH7WCg5KK(pV*vC>4qQFvp79~Y#aupil_{__j?wse5U+)UE z^qQ6&1uNpBEvxcQ0+gS75aBAh%(Fk83#L`aO!LIHx$E?u73TjLzN_4AtQS_aZzv~h zeE+g^UKaRlI@98@CF!eke{yNs`OzL2G-Xtp^$M}Q={&Lw0%u}5TVBn-P4d3I ztj*tI8ju%0cQ)^EqQB4LJ|E`hYKd*MRLebsNxDUhYau|{!aa3d2C@+yFaSRxn#g)q8wlsdwnl8^aj-X z(DO$otL5p{bp&BHF2I4P8?a5G86AxZ2k*O?hEvZICNzZTYQyqZ!cNGQ zliBgRO|uB!mXZilw~I;%WY;$Pd$!%oEPqgwVeX zs;MXn37_bQki_mieedE`axnu|i6mD4I-<`m(FL78X+`RedbrNoS=)W^!b}WH2wB(M zue0_~niUUwK~CZ~(RjZP5WhNpRC`WDV`yHeM6F6>qFs_4%%{F(9u&j&Tnocq1sLOo z-?-{=@Ms#{7I@3gA2AYt4gcwE$lQk%y&vK?6W!D7!gTRSAnwOe?dAQ!sw8qYWukX* zP~abIcr(Jw>h{eUcDdKJ4$HAO)7$@U?@Nx9;s@lgC4q$7qmH+vGsF}`0&A~MRjmq# zOziS&2x9u*y^oA*YV2bun|6fxP%=pf|3P|?HsRoulsWCXsKveu&iiMqGcR!eT)6d}-3HnI7dhKo@HZHk$KiMw!__1Z}v z!k2!LD${E^?inzelf9?D{||d5|Ck9C6?8e_@cmxe!&&}~y1|#_3hVqkU%Lsj&@Z8W zB;iJaS^{#XS{kqPW+X41>y>^Z@Dt4YdA{Z zy1n&MQ1@XWy%bL|dG)Sh{ajlbCc`~7%VwsPcolXmEu(M;+qFvj(x_TUHT>)}(H_@T zycr4n_nnJcc02HMj-ANKS9I==6feJal@k5ltC3{(&XK9v?(;jTInH|p^x=}LKw#47 z(KTUQCHo-*$E&%?s!=-ItU(n`f&GU~;j6>oRypDNzY_sUQzyHi=ePYWUS&3fOBuE#^YCpY;zD>Mel>W zj(90ze|e1qPU;mnAX@2i{$wy+%qaNX-=#bQ09q@G$A?x9-`MzXlHD(-#V?Q`+Hh)q zROJSpR;QIWu7`gQ1iNLcgdnWc?eYvWJnoiwnJR{;d*PdfNPz*-j)TEjQK@iu6MekAPu$G&qILnB!(Sx1 zDW5}5QFLW7$q+3y*1*^G6~usdT(ObsSRKUWY2YFtmz^Hj1c3X(A?8=95NBhl$`^4O z2M)$UZiK9SLnQ{Nu8n}&Xm=V1>WJ~%y=TRZR0=Wj&e2z7!&M<_a(x%LTaV*n4o~694%gzzyvMto^vQ=jt@qp~K%S zk_!%AoifB>4{IY$dgZlG^=j1%PT)Tsve{>2U_)3YtfFOG!3BX*JS_}&C zC)u?-S*a6hFJCSxM@KE(VMU>DnrGuD-g!<*Hl+PRww~oK?XXnGQ|j+M38Q^zKNNwC zRZ9%Bza(=?my;%zAJ$~tq+t^NKups6v-U5fk_F)+b}c-%(F$EX#b^-jg!Rp~iD|KP zlcly0nu|DjRS&!{d)))j9~&-t645iC1?|hUDV3+ybgAEs6A6%wEPH%5vF&869f$C& zY+Q5wfc#|)0_THpf@+@ zxNDjeA`LmRiMuoJP-^<2czFOGys)6(0LS1t^U1d}H{u&s`Mhmv?oqQkebO9Zo9@LV zlk)V{S+FM~NxaY(nE0`_$48zq^b~}e8jIgOd#%SDqMxt5G>*d?5^yt#P#0yHk)N}o z;`O{WgF|vmb#k?#t7{riAq&9Ee9({PV0h?O=!}@t=A)N}a)PUN)_y$J0Jw-$x2P%} zTUMS73zH6Xo7_-!<26H@IlQj(x)h0lU=rui=S&B>01)u624p6tfNK9q3{#FP~<%ByK)^*TH`wARe z9wask?7X#Yb7&*<5cV=zCAH`CP#Db7tyc3=K;@y%(@LS4s57Rrj5w3`sX5RdfG%-C z5B_8K+K0dO79R@r(Sd%*HKfMlGf|q?d9sAEzlB%$N~kp8vz_0>Y9D<$%C^?WEJho- zTZ`)vM70=zO z-fqZR4`=ubBO(W2d1o4i z+shwR(+h)xBtDT!3FV@lN}@Pl%qEV*We*ozc~^UfiJvO>%ULq zJ>@+*+}|Kl;`v*CcTiQ(+&A7&N_Tha^@`_s;pyu2$U{pp5p*GtHdT6vhfLZPyTKiw zN8j&m)P|`s+Ew+uV~U>j>0jKaX4N09xLe^@W0s z3j5dnx&g6)+~e=U#FM0~1H{NtirVH3wE&|cBK>{3%ygHb@vvX6>{hrPD zfh09m24*x|-rny^YO&4nbcBDOr@Nh;z*%h!o3hvX6&so`PA)2cfa;h5+ULvS(arP^ z@K`v*Sq!1NKKWOmwI=&beqsyn*vIb9H}8zc!^$GUmbstzQmE1)43wrL+-mVY2vd8; zJtY+J`3JXi5m3w4h^JuJk9i-UGnxA3nnPzNI$?IgBH=JS-L7{__AHPQh3~IF0%XNX z(363VDK64%++_~&Up?~$BRUvFa|pZgy#W zzo9w2Gd|Psc)rvnMCro=Ca`M8V;|qiXs1bIVxV&EIE#5w4zkOrIOD$miM3{RdC8%~ zpCAq~7Dz;<4&Ol@*pkDnpAhSwZM0Giu2}-x4-0?^#H?XVQHx~Z^QWW@O98t|4smCs_<

%&E8vfk`OMm;WhYkoT>RkxUUNG105|yO22;Heca;hgU9^iPClQzMDb6bsmR>NnNKS^%V zxmYq>iGI8?rCkJGJd>KAFec{YD>o!1F<12o8*Uhz@|Fva=-HL#HtMk?iB}FYy zhVqIzD_9ki%Nbj$S#Lc?+8rY6bs54RN)svda7jpjhT!cEeuEaMlLs#-Ob?R!+b%F; z6EQwY4AUoW$9m`7Kpv#zrx`gwKbZ*{`@I|)UKs#7w;M+|!tznEmux8{^Y zgZvH3bKW$vPGx7H%+1rshoDe>1l%}X-W?E@4c;b`IE>UyPIs`p0y|kN^0iyV->AYi zWi=qa&yb(53pdz$sGW(SUkdZ}pLdK0{YVxk7)nxC-nFBoGyv#df%G1zv_kE4b|kKS z4M~iVuS!nTGiX>3S_0!RcNN4m4T`Np-c)xux3(qH`tUd9X!bb-L6sZ!Q}I>i(f~m? z=H8rhj!-nV1UHeR#A^y#D3#{Y?jfR;+_N%)>d>@E_C8djO0pp{R@v-*qz|#B_N1=B zI}4K_tjH<`t@nxQpgWf6h5;a~W87>BS2TO}GXM+_B0J={RiIV>r?#B8>~28cWw#kC z4CJ|AAIMXN+IIOiLoxx`aISz``k_Zz((Q#T(t}*AXuh&=@hgfQQyPEEAi7Gf8oB-S zF*l{ajMW}uBs9GoyVp9O*{kQ- z`y{3G5;H1c=AWDUhAO}9R$fGhrnj7YlFoP<``Z{D@$tu&lo0mong9M+!WmxG0_A*1 z#RcrBFMRAuYjeQSUEp6FntLPuPlCTKxX*j}f{OKtOO1{&0cJ~AUB#}{2gn2^H3GlR z9)m@*s;1r8C{#tdE1;ZEiR-i1v@Uv^3G~f&>Z7EBk6SK#Z;5tmTU>r;gmtx|_a#Ve#iVRGN(-=q23+0hI^WgZyAtmPgGmss|%I*+=YOJoBmig2oKm>~QR6 zk*fmV7tRVrQIe=INO9#P$L2|{$4=KZ(-3Q4jo-v$Cn+wUg}+e0l7<^6VEA_jyK;;i z(!Dy=XAU2R&vELPoJm|1bJ8H~`UQXluTKN`uBX<63VhsPPvmx9!(sjL1cK}$<7{6A zaV%cvD352?L;qfQeY(CSNZR%4z$Qh}6PywCTZfwrdR-1+2c^)eDrP3x7zZ4e*!pdt z4JPgI;c8LK7LEKn1J9&b0q5UF%ws!s9pLcF-+5b~xB&i)YZnV%mYBceQ=NCPe_Q*| z2jYpHt9fTrOwACgIhRWtE$F(F0F42k{f-9k0nd|cosuAd5)?ocJ$v zvTmvZ1Xsf@sm_gXF80)G>8^Ygb>ALda*5do54(pd3)bVS?!` z>FM9;b)@Q}FS#?GD?hH*VQAJ*;6mmO+qF^6KoYG+KUUUeIp0}9O4-gcMWqH7=ownu z)^N%y{{2g57(^SlR@sqVi%umr8B>k>Q)u1vv_!&hDb*^li(+f-OLs=S*nfbEKY;tB zwIln24ZNdG@uv5TUg1R1`h>TV3f@CS%c7GXqcwhMjOHE=)zhuKhq3r2zDTCXdqp#V zG!!3lVz`{+!G6|xW~%VA2MxT~E8y0L9!XB`1^Zu|dbFiQ%o7UQiU;0LCt?^Ys z(k=8lqx-V=E`&8t@19*;iQzlYhS9{R#G6~$7KxYHX?tNd2{{Rl#`-tzwNJ!_HU>5c zn^%;Ab>phnR#dVQZc&r|7{7>JO=Ssz(Oaeo|L0x}#&#OT`N?S4fDUTpAl}S6-1o!a zII5I{Eo<9bp=w1RqlTbR5vlq95u%(tWvKEnU1EEA2PdW0B*Q_#I$AKC&hYEQz{nDm zyQW)2jh+X>GSft<59&ddGzivXaHl4d@lxPKKdbVZQcUnmk?iPpia-r7WLJv`I(#(DY@FA>+mVVJFh zk#^H9F9ICmC^t`%Uf4sgVlshpEG73=ODHAW@@C7JlQ4Vf{rad#5X>IJCKD0It1u|t zFfGXXU30JmKtgk0NS_nAJ3<#`ZgZ3Em>|fJxw}FvxYtKq5nGA3N}t!4D3+6=pH-hr z_Fz;XZ~Hx+w+ACCPDom$e7H^y$#~0>h3|0iD8F*U#5StWeWX}UB$|#zItS^8rWH2G zs6;1VOXi_pu}z~!R^eeRs+p`-kJ2Qc5>BHOA^LeuMnqP^Pf_mTZ;D(PoI4*4v({fs z#glhhd?d#2fqSzd`e3mhO-aCI9&T#>M!bNlc5u^5B@#Co_>D-`O5WJD}Y zX^rBod7Fm!+veES{*xJ0{&>lgJjw+6??~Y8!?7Jp77ntoK5!g%3B|}5W6xxcN}piQ zK;pp_cKfz2`9ELhJnZ*tzxTzo`XWMmWqT$_ zcH2nYk^I+ND8W-uOfG@$(~7qa8I&Eb$YgEPpFHhE|Gq`7cbsnDNkJkEu9lBd#y64^ z*a8zfXvJ;Um``~)e|N5m+VBi&pLm&LL*ZY-!g76ns{ZVsR^ps1?hW@4_%$en(`#m%D`H#KtVvS&7OFp%sbdva{yD(FeA&l>*CXI(t=l%F zj8}9o$S#@$9pxP3GSOLi$sdQAtk>u92xQ^Mju> zN2dKrvIECO1(I3YgnGQs_7BhDqiu8FH@n#{-*s6vd>hPIMSnPD+>wyTD(~mNfI+%4 zpL2VgxIBE>8pf@F$;b^eP`NPDu0VXqvj8sz4`ea7659Qr0{0V>Nfy@ z2s8+1%!U)rfKf^~Z$F@P7JQ2XIFqco_169Ne=E*)^x;)9jb_v^|NB>{XUz~)AO|#Hh_*84 zd-&|9N|sSsr`$v^fW^$$DjbnjI_^-)9m28d5@=NN&b$b<*+93h;2VFPqxV% zV7zs+h;+w^M(8Qd!4kGBV(5O}LjO#~huKBPvjW5%HBB!c^iwOxoi49 ztC(wJns@P+n~`d{(0Ac8G-B!^;$IE;rsn~7jjII0#4#Q4%$HlDM%a{1{Xn6%Pj7gT z-B>f=(HYU69FJ%I8<2`;F)jCY2|s~#I(UmNb67X{QE9)`AYQJ@+wp|-D%(j88_RxX z{_Fg-F4|4$nYsR4kIh(9;SC3={WGa|L@uLl7n>f;EJWfn(volcVJmyb#`gd|1<0~e zKwGqnIzsDoh(N_V%IgqzS!nuLC`n}?(7CMMfIyR_eFbCc9QY=;dN`q%aU$kx(&Vl) z_A2x_QXiY9rU#~XBVqcz$Le=J9LL3G(NP%I3((V= zTx{Y#EgY?9A>^h=AcQS3?w=0JG+r}t@OW18?@~XJQvDlSNW8`y7Cjw-0d}C-fFa8j zC`Wli{OC-PDIg4@zuUwbx7+zhKxQ-4Vcc4jy=(a5MRc>EM1iR(wzK?vvGA1bi7qSM zBmX*mtCN9WQZEr=jcTJQPbKor*WLK*)X3J?oAV}A*~ti0#i3KY)S1sYq_c81T-0mc zKIGiY?(@U@-q%NTOe$>NmrNa7IMVQjz6a}PcsoE2fwk?LLySwUg@$?ly2x4~f^+b_ z0(Op~b8WYl*?=g=-NZam$>l&TFXMqJX_+4>H&*k%RXT=0s4?wmNC}Moq^!W4edVl; z=PC?&`|gnS>eSKL*6z)U*2nz~Tvh(r&9GXX(Lnl!q(Pzlk|vr9KFFy*;+iOmyqjQ2 zmy+O+)F5-B{E|1(h1n0=dZB(=8SrtvM~xW7VujB5Ji<(c^m=>B z;qn^<~n9MUR($fQRak2<&xqd-YB0$)R!HtLN9W)!j+(l=l7x&iWgrG zy}D~~e9WkWnYG^tKtCn>isRhx5b4!IA2mdtJ%6^U45IkJ{SJ|MQGdJlc*(9By31Ei zn51?fdylV;xT1yS0(Vo-IS}v2y0X5aPRBbSLadb#`JpOIgwwLVf7nk!&Y_Y*pEA|4 z(smprhDrqau%>LJ1kLoot5ZZxOLGxAZDLp=8CEJ5MG<~1Mf^zYtHGy~45T${)<_^w z{YHei;wCzqI?G^mQyVM9hrCOCC%G+Eku6tw5nNfQ!Fs}oW8hS9D(1xTO6~{(qkkeH z;mcX07uc1|vw^f_-0F{r3fV(=-^OYAQR)&(F11tbdtV7*{fi(^N>VqQtAl;7?RsN& zLWCq@FIi;Dn7GxNJN(UL_xmhTvF19}@=7%4YY{u*bLHy-D$4!D%}0oM&PNx=KN?ps z{t&?HtXZYqw#_w!jOi`IYktQSEDmqEepmGD3L4%-jK|lBiVeQSFqq1g$sZsoDdF<0 zSxOSrK$k0Zb&WvN0wkVd=!M|ME?{||mp<)inIq(Y6^Qk~QX-m@dL0Ej| zY>M*WD&8M(KQo^z=ALf38wNp_qH%k}cjtBN)K)p`)e?Vw^?#Mn;gK|?cp;d8FYF&E zwdsR*KA2=$g_KSv`|lSBLsi6D9EfXzvTrsnYMd?($6zj|P7B{iV@(*d=SuvMuUSI{ralGgUUF~Dq9vvdI)^kBW( zl{+^nviEwkEXSox=gXzdo5Ynu!*KXA>V%*tOz@+!O*O3I(rT#^tq6QOefPWD|n=x%n(e3Y;CB+w()?_IdK0F_=;5)V<*2G)jV1F}o`iUAqk=h`ZG+gf<`%41V zPG91t>bh~f&xJta-WvAx_=o2Z7%E;2=9)6}_dWI~m>YYj`mOHH++x>LAT>gLt=DxVg?&}Vnu7;!sxewkGhktu%^Z`^?))PDC zYPgQ=m;Ey=ZV~h0-?2+`QiQr;AhEOlyS%viTLidY!dU+x{gb7X(tvICx!2O`kCP2F z)mXVp_3|NSs7nZUj+3DkBNZaKUKB?8lAy!ww-M#M0(_epZDrVF))R1 zMHQW35E~vMXs)VBrf)E!b4IGC#to#ZOa4tNc4g*)^LBg|Zj{CN$c6RFW`xGT)4B#L z+O5hs=_~on;_GhvhkoHV#V$-=cbi^#KK2Sqhx@%px}ts*mwsZb^NJundmnDi;48N0 z6c9ry+O4JSt460dgomRBAyG;D;6HfvSvP!lLJ0^hO_iy#=}&>SyL%6e8}N+T-|-@t zee%xVp{2v`Onw2{yx2Vq|~Xtzog?4QODhnAnv>pzk@Y&mZkIn`+Z=7)ut` zgcuaqGYr1mxlEk{E3CxE6Br+1ajkc{)Aw~c(U>?Uk6`{*LF(PRONGCw~(EH zlCg~Efqd1#dli395(uc%fXvGa*EutMC1lei+oLBbn!g{)tqv%9W9 z`;sU1ax5g@+xwNP-vg2EP;JJvCD#AyD+!B3?qx1De=_zh!}&^>45@#?G0MENfWm`X{doPToJt8?%RvPvx_5r;_$QHS zf_TKBe_qcCBcbc}6WX@Lg<&zc9waMWRltj>L-VB%UX}9p&{%FhRkz^kP$YRv^bCdC6 zV`EvOA@}B(KYo56wj5z<|E=}vfLdsyB=)|NlTO&N*Jo>A_A-KBA7Ko{h}8v2rC-w} zgeFvXgR?1+UQwGH*p{vBzFRB-geBJJU)mKhh@tjxTuqGf6#?q5=u)chqInY5EAVT{MzMpUEo_B%4Uj=-W2*nx$TGz3*4 ze*g`>WS-#fh9T=4P!JcG&o~pk4kWXXz4x& zv95syvS8+fqD?9P>W6^7KTZ+QB#wJd<*OiUX(;aS=RAyU$>sJo=NPt@#ea+KE!7&C z(m0TD5zzV7wNb0g;?GN6u+{N$arMA#WH7oF7ks{5b1xeTtdU7;vqfY@Vf}MQPbX(G zEjCZ<@C9dBk^hME$mkv+g2S~#faj5rzx(g+`$Wp%J3YYj1gP&S>{gNBuz+IK%qWClw(Z66DZ>=H&5sFE*bq-BCw>pSh&|_?26n+K(mr$#R|MC|JJlSg2_>nEl&) z%ck91=?%TI>y1Ix5e#X%Rl|K~^?2;+!K2lMrt$I#AZ)5|GH-#v6iL00)@u4=$phJe zeLyF@rv!mS*uf9ph=5E#OZlmw=+WG-R5V|U$)Aa^;={Xg%L9HfwyQ`cOLbUFq|)Jf$OMo0c#&?Zi!I4dCyN$QWKUMmkA3Te`*ckXuL!JOg>+9#2#^j?x-_Yqp7pwv|Uk1p9>~(pbAuhA5@@k%{xt!~ubdIStI=DUqKFxSFz)D4$ zzq{`h!_-%D)-ggyOW%zEE&`<*IwK!1-&Qx~lBa&dX4@pqfLR%5JEtl*(!N>TEn65! zAe)5e2UGrFDFY`gX!q^bCVr}tZEE4awl^jhUx0h}EP+4FD+U7qv(r?;2&AxS{Ko+? z9WG0DQhVgpC0O0-##P%~>=p>a$J5O3E|Ae!fj1Bvjc(q@L-7CmXL^^Tv*J5v6U6-! zVIhah&kX6T@UM6M)Tm>*Q?_rP@6MvMkVuT(&l@j518cpP#3veV3xNf}ohPpa%Y<4g z4Tz(@Ed<`E*_G9R#{_v#XLbWamrb*YrUE#)uQdaj|0+U;9)+ zD!@9j$7afWBfY)2Z|CXEOVyKXdb1&=LFUF)j0?s1H=8`%@8=o>{(EizhxqiMH(2AY z{!aHHoW}x8CnbNExJ&oSw7`CwN)43LcTV4VlaW4pV8oZ}_I{O+K9E$XiLkPGWFala zz!1vQsaY`p;6sOoaUw|KPP0Co?}hIT{U~U){89gRjwpSR$OC@gi~qyiSw^)PeO(^c zP`tRiyO!cE#oFTTt}RZAy9X<@xD_bSLUDq-LyLPTRxD_cWb%J!-dXcu=KFk&tR&CM zxzD}l?7e?1$j>iQ=bvM5!DT-qdTWcTEtgTtfSX?kSN2U1uh9|oi7WQGi~BO1b#3O4 zr&->B45udlN(z4bgT1cvv`BpZbUvcIdT%_qcy@3q=d1*Pbq7AC|fDLzohgis|YQ`Zvz}Cx+}yVO_Dp>EQ!j!jzms5y*xemiv_|mUnHF1%dBCVInTn_*73#qz*UwIzQ%LN*JZFB|F~367~&n?U`jm zLzHV^LrY2APy#6T>Vp4R!>afg@>?RO&Cf{*?woBQIf5QV@{;0c`K7u0vB;SJdiP4f zd2%PV5TnYuMc}*H1{o?5mC5@K_)K8xL{X>9nPhOQA8^NHS0Fl{l$tdG-UOZELuZ=c zusFNY4YM!`EE)0%c%B9~SxtZ|C9X>)GW11@xxV$|KdXgAc=hzPl8t>f-8_~pwScbX ze8etL$(LFm7Uo2P7sFL>cyF}Ga=Orp8PKLt1byj)tYb-Xq4}e%6=Iwj;O6J7;k8(j z>@zAUSnV%)GJ!3{l;w)|`vG7Mg@xpQDnGuVa)P9ZXZSn=qz(knDw(ZnmeG4}#jz^P z#H6zeE+szK5PJ+?mq=Yn_*EF0=jrJcVuVD#?MVUJjP)mqVl5Us$Ii;g0_YHMsP(LR2x#tWKJ|Gj{*lauKuP!T~2|`7p%Dl zyvs7ab|$Hwza)EetxaqiM{@PUnhaDh_8rnewT=G*2U{~7KLEM!o!7V#Hf|MB3EGJ~ z5cNnc^W%&XMiJ-K+RWZw|CA(ie>wH)g?l)NN3>er=Pw~4u`pA@lfNbOoBDVf>#2Ci zZdhby2nHthNGsfIOusOaxv?1-lPDs{0@ZqcPtSS-6~THJoh8fYqXYX^<>1JfA>fYp zd5;iNr^ccU$;Z{X5f-WQtu+h(Wldyfp|QxZFL*~(F8Y9n@gw4;Ds9DwKmrHGXVp!6 zLA^J~!-fON{D>PT`jIZ4$8Lmg@Zb$sR=TXE5|uhQLxKtbT44FEn;(6BNj)zAkfm4< zSX@Z&6E@CTp0=ciHSYz{!9w4^j{n~)0=#^d1pKpex#V9=Q1Ip8*yHOWaxen_l3>5H zYl7#fyqre_lt@3HX|(XNeG1_4m-U(Pt2vLEA>Ay0+Uh=esyL^PVq829k@x*W&^9x& znG~dXHq|egkn4|GQh5`hxhJ1;gOigRijwP{VU?9FT#h8z6HKrh8`4!b5eTXeJf~%Y z?~6aGWlxUy_b{Ga+)|uKpIIV$2d2lx0q7~3l$;mw<{i8ruR>EcpOgZo^C7ubZDQB# zxdl@HuD+L}1)?ZWt;J$F9@;NG6OtT-Zg)hVb}Pr08S}}qMj5ek0TB*OkDiq7&7$BCX9>%}BaCBI-(3>`-Z79WN#CaDq z;aSzmmIg&ERk5mQ9E|p&yLm}bc-^u1D4lkTe$*v*{V;l`aFxmM*Hy=WahyTcyAhM; z)T>oI9~FZPCcemuz}K~NPVGpNJR7WmLXMj_i0jwyWO$!7;da9D3W&jv06xCU1q1W( z45-lrN}yb9Td#!dh17yRaP&_8?I}~L2h|4(VL$g^Cj5iB`BceVvip8FuE>5hbH`Ij$F; z_wEE+KiXLIu^3Ke7W#ZmHjy5`?D9rJ{P>jT;z1`peox$x^r}3#Oa-lNl87O1WaX z5Gp`xQSo+{HLHI=xa$lM4bS6R76`MV?WfsUC4_#*GM|JmDGaB+6&4kAI4P=nqDABw z-;>g8QF^O@;2aTRuheX>fVU70Kv(uZBRSJ75rXzEa*5-Zxj3)*KdpgD15J38pAq9( z=LD=r(aOj$0*(d3QKvEXuDX_0If^6Z|Gt#)qAkq|1LJX65oHU zT|(etQN3dlh3NVL)y>@%hwxw8-$5V!IHumfrYic2k9urkN&E-Lr_|~9b$e@8ic-Dj zz)oZ;Bl6t7pMNCUTH6L=N2AMFY(FIS)bSVvGW&0Alpp6x7-YsZa{4#o!kSLKON_pT z>)loPE&X&?+LKGQh;d+wd-{b?Qq9*mHI{aZ6J!HHa}{5){Ui&d(vmCATKaq}pCaar zJ22ugzO@Km?RR{vZB_gz5L7i7mf3WTE*(@~^J39BDn~GM{Q~~DcyAl^Nj1%A{dss6W%preSPC2#Xeo4-F`&VVwui>{*%+4j4Q9=38@ncb0A|?VWUxys6>o# z0&~HqZUp0k%a&DCeAlg0=x_Yx-T89TH4_@z%|sNahYJ02whgc!9CCQ*x6zzkvsO}{ zg*ip&UPSNp9a9o@TC7epNStQ1wYXISj09gYI1VQefyF#zNwLn~IIgqGNzfSg7r%WL zknLxe4X(>#H^G(?SA=(A<#gpcAXQT5zqI_zMyIxTl(b-gC<9O}rK|7kgc*0ir$PRD zw~ZpCY&kfe$_&vkwmSjGetSd}m+{ME7U$}g%_kMZE z5QEOqJz)++6Fy{#_m4UHNmz+mskq;A@}u0h2hjRR&-aQcZp28dSHSLB97FczsGGVu zUlQ!r#YG4Po-07a%Z<$glS7bjC{g>_)#88qz%w!}XS)Q^p{_RtMS22oEJJ`)E&+_b zn#r*7z3i6uF0%xNEe?aU@j|AI4W|Kkta%v*ew!(L$2y)bKw%Ph9 zn++{cHR|G^c4slpIH-rQG2W{2!X!FO|5c~g^laBZ@NxXjjJ6n*>MK(bn>PgCf(dvq zXQYCLnfuDS<**!4c$o^*4^x7%@9ZciChaMVY+ws=Viw+_=!*>RCfR9JIpu#yrH4L$ ze$(738~SB-EdESQ&m_2(Ina+{XXv>sn%4Mb*a$4i+p(AXPLotkjHuOEBeJzHk?||w zUPMi$Ntj6>u z-)gn%nb3f3>WyG;^PqCN!O;7*M~9n$_e_{M17G_cV1A_u6nOHwR0*2m17y;#U`iB$pPF{KT_1(R?PBiS4XQGKtE1)lBW zsLw6Bp;*+c70D|#guS8xWkj{La*ExVaUmG|fLz~SVECIh(qeqU8V{`k3uD>;};CX zJ6Nd}mL+nzUYz?~T!bsj;rDN^xEo{8RtQ#y}huyy354zVU z+OFJ*-Y5mUU%(><#!}&8u3yBo-9hm&rxb8R0w!l8X|Q5dnfMW)9QWX{Tr3n2&_VNM z1IMaS1a}T)|no?oQ;*f3Z2*eS{U{1!e>YPvs=Y%?Mzle&uY(U9a+?z&k_r|ZG{BAG4=4#JkHt2nb1qCur@rWMpXoTux!RF^DlCivkIj+OCZ0@+8x@-*^|HHb zuF9XOSE8c$z*FhpF3Vj;(L?zT0y<%%=NS}Quw#ylO+pc=qvG&Td2pUUtQigZ9Zsk9 z^ai?_*(PkC=8kBhIkqV_^bHBnT0^ui39QczbU;)CV^GY&Ed!EE&L7L4(an8K&ZE&^ zE?K>Y^KCt3T<5&msbNRIa5SU~%o38ke=b0~=*{P$82Un}^w?!Dix&(aCM(gl))}AC z2~m&9`Pb%-hBBd889JY#^-4uGNY0rq0`cbbMzaT||a(i*}HhP*NyB@6xgW zhM7AC8h4jPbS3fPW&Vgvv*J?;AIhbm1o2%OrD_s(<1F7y12oAbFXs{Mo?Lf?O*}1` z>_PTVy7qdz;{chNB>R07t;@a^g?!~o$y2r?L(hk7Mq+MjN=nuxbpk+!L_C}0xDL3K zT@e$29tEMUiC}_aH4P$f!$ynB)t_vv)6H4L1eG@#bMm+(o)bUgkP89;nQrA+v7

ieESP08#gu9l6{T&%pZbG*B!}R%5daFM$jR2{MqoYuFgD{_gk_Td4uRi>oZ_5sTgFS zeo3_%d{U2jvH)65VgECcl4S6cS2-0P;oBSa{^2m%DBoGL_xe@1*DD{K72&M<)_0=i zgw`jnZkYr2T?hN={2nlg;WHQYG~_zB~fbY;fJ)`bb4Pz5?wo4JAS1$+@Z-Xd| zeW~{^dX?rr#h>+H(_Nxy%jehRFce(mP3|`YeVzqcThGew3YmGV*}p+>oahNdtg5DT zd;5w(97+NJ@hjq#t#4+w?Fg|*&vQgx!qM6m9>LpG9XD}bBq^YtBFYM@Ie z7MkU13TbF$)ovhn-os0|CUD@9Q(f+l->sqNj9EntHZdU&+N`T8t~4+S+Mj^E4_>GgyHP0P7S}qPpYv zK5Q?d6SbmLZAS4M#=@UNvt#dAa72a}^OCZ^?e+hLX8FTd5>2T!rwB5uaKRN?(P6>a z6l$m0H;YgUKaMy1n-&J6-Z^T_A2BiQj`(SdXC8XBF3%YMG`b&G2Lxyiez#@f@}9+F zqh|jm^N{Gjnj+=}v+Q3PMWI+~wjC*Zhb4edL^j!l__3^@^VzTyDDb!fb+Pu{BsbRR z9UU4Kd9RsdFQIl3YKEtKjtH)5bQ;6^!FFhCWt{L?7KP>$xn1|u5wqMHxJ;eQBd6XG zCEQ*+Au#$$rDS`$VYhX(c(8uC7~tXC-F`?pu+EE7=Je3|%ezb##2UxGz{Iqdp*dx* z@g>U^r5{y-FY1SML?zO9Dd>{K4GB!tnH^P1mUExUZ0(n|60FbJ(=A1ijASD69r$X< zg`at#`LLNs!k2FQF)6v9@f&%ggpDasOPLvBOfec+Qe^szn?<8A9u>ydq|31c;zX5P zU|o#;LMX}hu*_15?3^1-j2QeEF=;&fxFmb|Pq?r|$F-GsSH@aKfOP@XLYL;q({8yE z{xiaiw8Q-ucyaXMrAXV7cpE}itcUXfw?gL@?V4t#Avo8Fsq17ZFalFFxG_}KT2FCC z0#twE#L2?p@p<6t3Lm<}F1~*>msc`qqsJ^98;15a{4zeN4KU8pGeZ@@{`j)&eW(HZ zh6ua(D1jxxpHG*o%W^8P&Km-@Gc68L@=|KKg0v50+i3^^S(VoxHey!kGTuoQ8aaO< z8`^Gpv0f>>R!-30{gL9#7PlUd78vPj^p1e>rZ?o`?dS-Ky`bM1Z)bjL$IMTc^gmuY z*&a)K1+T?16_bnl{|1~<3F#sFaU0%|J3uZ%`AjOFU;8p^3R?V@)VdB9}k* z))Vf+{$FSJL}l2Evq#T`8TBL3p`Ad8%MmbXhk*Q>J+8;eI;}N7H_jN52d{9BZRZ>V zJFzyT+Wg{6gMPqcNJ(vW-a^pd%T}LKkp~eX#p3Pn=Xlc;TS zfv+02r#L>)ms_{HEU!JK6zlWJS$@qEy#KPjrWqK0ckAI}uKEiIPXAa6!R51Zrou=d zA67|t;Fy`tqCpzXNp1ALPs1a1-$42NLuDG{3%hZUB*^EG+nd|DM%tqRU@f>Sp+6S8-VeCiIPidZgYxyj^LI z%cXD2H)QzJB7kT%vX^9eleS?*N7fG6x=A9|c&BX>$zsf>vKc6TCYN41s;9xxOrhLU z*UWjiZ>uPgkGn6zZRCf5rKl7ibIauIS`tG6O5Q%NtK`3QAxOLqTi*_VZ0h=I`=|w7 zX--axg5UWTO);4*&AO1%tjB5){OPR>bt(QmTnYaTY2&EKMVPEI6hOT>F0azZ_l*#w zU-Wg7?3C>Fdg3U+*Csk@JflzjUEeJN?q_Wtee`uJu_ZPZT!o)8A z*2=X{ZI~@PUk#D0`Ftd6zWbMUE9xclmZm0x62$rwsrJPH+)*MU$)|z*TGQ84#g4t2 znF}Q@7L_s8ZJB3!4E3zIrn3_H(KoX1mh=O@N)#m6@u$oEP$>4gb-X4hj}CoPFh5)A z@riSj1|;f8z&NSWng5jvYxJPSt#+jOYtWH2KvVg(ufcukNU<_B$Vteq0#&TwGB576 zg}R5Cf4{^nDb07{9Mm!tuOy$*UKQ$A>n>9huuIw;x8p9ywSV}3e%Dc^gqOOJd1KuP zedoag3nfTXvdB&ebg)nUG2ygB!1apGIgB=Tw_9P%N2D9>wazD_|Ko(|!D^>KCK^4O ze&G8c>eM|ZDvA_tsu!Qajo>%4zk%3nr#U6RG71(h1xKx#n99BAwk}#<4xtdkYI&bY zLIMI4(~P9}<32_+#Z17}g!=qi2kTS-uOI?B6?N=$6%w2}?62ecI!=~T`*Zu8l2Lai z?y%Yjy%5p+c6w-+$>l^Zx0(lzv@dZiNa->fTo@J@WydidoX|+4H;VzU^=F#@oXA~M zUr{|4IAUJqy~JNI*Z|T&g*Z{i$Vk74|6D~_RIWwRXJ23o*d5hKc9>t35Fwu(v+gkX zo{7&TP9h(ZHhecc!`AQ@J1paTU)VPAu^^T59FeY&5Hd|VhsaA~@{#iX&9rxe#U`@z z&7z0O5Gy|-!VwX1V1jTeQo+hAS)BLo6u&}IoLRJFD63}5-%U68N3`dy!A}W_j!Ie* z$|Ip|MMN538ig<1=sp`bfrWXLgud*W@Iws0o7w9rhWK({Y?@H2z)LlI2lU|u6G7>r zJV{T$R-JXAdWqr%6nU-2R^XMgN)a?KPvvKLcVTe~ zR3yngeHEidcu(Mrg29iW=%{?v1PuoaH8aTzhB~&ddQg4vT?bxQ0aT7f_EcEIs{umHRUM7f;JI{r81in zQXoo@_9ClgL%q~W%r&r3X+?TQ@7E`syXdUWu1eR1G^%m^fZNq974IHH?eG5XGSf%u zHw61eU^$JL*$It*8Zafvrg9AgjsBuq6;aYLw+jJAR`6>&+GuSpx%k+o@zMK}Z{L}h zXoJ zc534gL=<+u zT!TKwb-yJppwqcz_v0h$bc&3!)}h$KARC*FZ&^b0oD#OTfo2%pwkbKeomSX*H>=oM z`}4D9YY<|aZCVH`8GTE@mxQlkoJ}zz^8g{k!47Z|ysBjo-&0E<^%nHQAbfa1uIdQOyB!Z1w1x%DB*H*byrdfr?XkT zO6xYYVO6I0ixu|1)^X3bAuTa!3gOt_daiVvY8Xh()D9or>Q7EGrZRK4>oG!RLC`{9 zhrSG5?d*0XrTF5f_~@h|@K%;Ww?99D$x39Ly?t+)WIfTzzp`TssTncYZx4LWo(Va< zHv+oASm-9-zz9S!_jfohCr6;f>G0sHaxN zSOANs0~hkWPFHwhI;noMYSpOnyOOf=0|&fSpmRX}q3->1#}`9;i`tW+iYWudgF;FP z!-|Mi-cbjGu2I}N)la0tcgfVuT@EU-O#|~ikcNktWYf5S=eeY&{35aaW^r`k69dMa zj#A(^x)KQZx7_t1FzBk4fbobu z82fjY8{NNs{Kpr;xDA5ohGcAY-b@@2irLsK&+Ql&5lKTu1Ut=NHMl4gbg}3Vo zWcMnj4-taNEy_q6!HBcy+bFSFZtb0gK81;9WRL}SYJdxW1wKeJ-|PW9tlQ2Fy<%-J)Doe+~dF3JTK7-!x1q<}|t zuaulJ!GMAA-anVTHF3}v37g5VQ4!Z2iuaApsJI3jY$l&|Dt7)HKmFrF>M0vMpar{g zG0gMUhm+K}rZ`^L=OU!12|-WztwW_vkjGgl?gZGn;6)yVcT&L0;v|=dePU7T@Ag_K zxcslmK$*9(6ccxsrb`C@w%BK=l9N6sl<|Z0IX?6-cD=Uwtv1?Gb0i( z1^W5^u(Ah=9BdLMxf=HNYwfu8kSAHu!FyF`w&AnxFGTfF4>EI7RrDMzI~Ex*R*V4> zadvYPlDHbma`59jhnk)#Gr?C37nj-|BT5lmz_RL(e&kqep2mr?)E7}}a`evo^hca;%NSM^f_1Pej)6NZRw>i7hCJnZWt6lIHMIaq4BCgoJ5INL;D*tZ zoWKPACshEcH#AkW6lM5TZEE;%NV8Jw9|GJe`Rz z9E31L2w+t>=6q8ySuF!NLl%VF{@AIJVfF*0Ukqg5)90A3#XL>wHf7b*1+E;vFEyGk z0P5|_%r1TdCB} zY&svUwo=U+5_Izu>E)4@8`t|Nm5TTF2|yaKA=VUG%}{M~Pr`Fl@l=`~)w=yq^bheR zM-)@66dmiYa1fO(U=4g_`Qrx{&=65@dR{dAoU3JtZ4vV-whdv|EO-`veqcw7wAVBv z0>3*V7S8Ma)E8UI^d$0LdoHXs(-DY(2GeL|%^&jAbqe7$;~Z0x^y&V6GLlDwzhi3m zQYv&!p{tajfj6}?^3XTx!)9Z+$mGq`mUH??y)-k$lYB`VlETZ2HMa?&CbU0Vr%HUc zv5|QF>4sK6-uv!89X9P%KAq4$-kT3wBB`KYolV@)!244% zp$k$T>!dX6HfO==e9~=S#2Gd*G;@&(gCB9wfv=N2=1N5c zKW*7b|LxBkvhGnoB13i~1{x*J9-%CVKRg2B;b!i>&Qu+P+bHVirZ9Pt>20i|(UtnC z59tlKES=AVNgSi}MOC;dTJ;-_LiRC01dApGS(Ga8t=%@qp0$2M77~2FWyzBe!~!&T ztb`56L({|>9e7C`Kb>h#;h28soJEXcRS4mWU_C%2MJuI*jx0vTX`hpCfEj>S22wMA z)eDmjjT-dW4G)9ca0%jS-%&X+x|?4I%Y>MEOF0WIYH}y{$yk0MXP-;A90sYyzcLdQ zXn4Q5w^ql(b_06UmJK($BrcC08O}{tk!J`S(ZUkeprdcPpJ!g?TB5%bBZF*tKUo>~ zFea*SDJZM`0oOFwq&iC0|IX;qCqE^S;OA6{WnytJv1QbQ3r~M|rCbDu7{KS_6lJjz zq-yCiK7}rUmLhQZ4L9llUvFUt6r6$> zX0mr2{yb*3vF`5=Bpf$W%4t8z8o;W7U$XQeaV4-{zMJ-=O5tsg%UWGminm9MNV6l= zX9YKi$X-I|wd3zVRo!9(RJY?+F25YBsTpY0x06lJ?w2LEyYNSHFHiQKACnnHRC_d< zg-0KD*5}h{F9cz;f|PB>(y}4P7W{~65b&)ykh(!R!7kPanzO}V?>KU$Ccw?Mwe>d3 z4{By?c%4nvGQ!#3z_Z|$($zj_(CYYAp^Cg1!MEwC)lSL)b-poSHZD6G)TaXZmH>~d zuL1ior|!t{XGXc-xfO(~qr^7m-m!N5O0W2IY#p9SIpXfAB9}f@I;k6c`0sxzS^{~a zr7MGL6CHi#B1FS`c)+V6TRCD7&sd;^ux0rLp@u7zRdR2qbxE^S-WIbicQww`JuZ$_ zEa-pMFPlE&|0>{L14@~nWpJmFb=i{1nQn}FQgK`Ia&3o6L+2}olg!htsRo&P-KQIa zN4=!ei}Z4K-AEDo@Q`{|Jl6NU!8KvkLmvax4YD};s0hK`?@2I8c&xh@WtBDggV_EZ zq`ithSkwK#qM}iH`k50Tck?+`S)$ZtV4+Q_;@!r2qE+ zl9G%gzQjJ&Plp&m`n)osz<-}l4a#yLK9iA&KMr-;~@>`bQCKFpRcBfIL!F3CMNvY@&p%->dq6dEFB3r@exmusiK|(dHvs?Cs~ioRi8|e(PrQ(%PS9x%D&mml z7>p!4j>>tn70?{rC&i(?NUzZUw~P1qTK2DU0P5Di_yahPki5@m`W*p;=0=nPg58cL zOo@9%KmirvwMm2f@D#eK=jTPvA*Y+ld)2YtLVE=)y<$L?n*5$lxjhK}uP+Y3BEYzR z{S)ONCuRwWpWfDB%N@ASJn_k)N5~*_=91yN=om$BOMsh(#0p=|()1AcEQ`Fq_fT<$K*DkiKRDBk2eF~i@&_^(IznKr(3=+t4XcKszD$Np^8&c`g> z_GCkGJw2`)T|8dTkW0D}V3-o#G|g7CQjh(Bmh&~JIAnnYCRA4Q5D~MET!P@q+BC`s z0Q(h?F;=~(juFviKLpNESGV4Gd>tR7#LWEBN$ci0*XHx>kDlNfir761;C z@#Nf4LU#l!am!j;T2-U<^;6JuQ_%7|m|;6j5(VAlrpdDzjtc+&!EzQ_lIbV-FJlp17B6Y`;1;Ib z;?Wtn(+ATT;)z`C`^m0%2I(`pT9HC}N#8MMlRYPWG84-vx$rH%$~<^Q8uV7P__E0? z%d-PMi+~)^gDX53xJ=u$- zKJd0m$nPJOG8c41l`@e}HIDpT_Phz7kEao-#-^{DZ*Y#pVLK~&~TyB1m`9d1J zUNw<iroA+8lbR4muIygQ>uSe)dzG%Fejs zz1?Vhax^_-ER*C33+Fb$9RyVP?w+2C@lHpypM0@U#&s@c9mx8j?S@q=y&SCi=>;p! z@Mo9asjaE`<2S*w3&?=Qd3GN>2f!3bg?oI*29|>ry#JjP&a=Rbpb}RU^bp)g8229G zcel=$o+q@OzSi=HoLaO`4u0wTWHr4{W;&@iZoPbGKBxVm^J68d<{PobTapuI^rs&X zkGt1bf3u)>LWY&se3k}Pw3p0ECd*;haeP*vdG1Y0L7gKdg(R6{yP z{>(0*n-N^&(NLs4EejgJ#gr1B0*O(K#^%6Api*;QLlio#%7zOJX} z`t${blrQYx{>{AR(%+>Nb^Dr;BzRW@o_iM@J|I!6FbvWW$B&yDUk zU6`yGKv+l*>_k;JUWsNMJ|$wg;thm-(Xw>GD@~OwU@U%iHRpUdaWu0J<@3n*A2j^BCNXD9x8h z^leNq>ej*dQ_}ni5wvDCsdu{{5HMez%7(a>L+sbzbs~b6LT(~08xJ$+{1K#8K!2os zSx_HX@-O6@0(MKr)1;1g+;!6Za1uzoJJyYHj^;m<_e8geqNZ|nIl(oz8I~g+PXg9( zbZ^03b03YZV=k_F!pZMuZAm&>*C{7j4MO`g%@FeE^96R%?clDCRG)#NzW4?uxBtA5 z;skf;YV^ryomxGUe%mKvwTQmkbyWYhyvqEQzdOe1i8(;9;ylFWpJwML1zZa%WAoxE z)jx|p@ar1G@I2w8y`C%* z;Zi36-#w=Cm<$)3c2QalowPzfy<_Xs?$!y7VyDhX~%48 zSR(fyj-<*j2KfyFTLA*0SD>O7l%6^wAdnX?>;jWCNa*TYHt9_JQgTk3P?_kN>_f*X zBc>85qmIb4%DJf7M3LiBoum5sBk`m7A(@($2dv7trufJFv=U2S@NvzZYJT3F!D@X& zTVkQfOIwPx38dpS3mX!XPx4H1*@ciOEWrTYNNCa38;5TK&E68t+)9HlY}j-ARlvJ` zTx<+cI4fq&V+8xRnGUN7s}ia)Yha?`)wf8w;TQ~FQBUdbb1(;AYKD~6BM=7~9^+B^ zh7hpbi2&Z(;NPFN=*Fk!u`l$*R}|o&j~Wi|Gbr@xLwj(Qds@mv>nEc_qx`8t7QTNE z8Og~T^RpZcV6w?I>VMi6*`-ms%ny3l_;XoTIiC^{&I&X+6$Yr6)pV2aRwQCXNH(sMoSv<#q4w@ou&;bnrp4FJvIl0c>U;Al( zyoLxWX9S?aM^L5~$Ww_FU%rYZj}7(S~3l=_YSnHG|O^&^5YJs~dT? z=vebGDN0R5MnCz|m6h(C5?U+xRwlD&_m8}-1PV6GGXrIKq8WO^ zItp#Fq>=gj9rEDu|H0!HcX1m-9sB4g^cKX=3&+ZQX zZ>cxSv6mPxfrlQwN;op=`s0 zXMhsy$hd5Ka`$A|9y;e3C}QX`%w(o^o-BvGox7S&zPgs2$+}P5tfhL_o@SvE1`6fw zDG%)rUqYws@9=s4YvE0|W}92b;%Iqtm8Cw!5L{`(^LTxbba`Y>JxpR8=(mH-H!V-# zpD*Mm+DR5G0q~_P<=ncfv2gk2Sy`uV*^znc0J?Tv$1txuA=1-Z+GF)q!I1|v+qZ3n zO@6v^5|UZ!fJw-p+C2{%ZGQVh8#R$RgQ4U-0u1ii4Q9ICvtl>R8m8{;;G)9A+kXqY zPO&_WyeH8T_@Fdxt-quBxb|VDHlcctAm~$u+{6?Q;w_nxmZKb|U;cqY1MANv_DOW9 zWxtPNhM3l9c`EBzb>lNWe7%(`lj<5g!fom2t65$7GMsdki4ECQ)m%WoN{T4#7Ov0B z^vm=GKKo4)pqXdgYV>1owkSg$W&;kPz&iEsxj!bXLe=kTAVZ-$#1}dFHSMwZxf84>=XWf@=Os!vBS+JA zF>2wttvK9aY?85`7R)NN?(bsB(b_e%ABQHf!r-+-qcgSyE*xh@=NzwC0<#8Do7^eoCePnMd4zP~wj8dO#f z!iP_Nh2{2{j0QEKoS4CHm!@QM>)tq|ki$w|1jdB+*m&;yeRtJp9?{|+Td!8n@LU^tm7FK-Ar8-vrPE6e&i@3`3&Lvp=%njOL}`iAH+hu86PD=T<j5YBj^VBkN zva@<*5-C6}FH?jX<;ZDN&6sowRb*dMFqc4bb|K;({4VD$wgS+4AxT zzyExDy=%EYI1J9zAb@xJOsndB2?k2cdri9SPPR`tqOljV|Lg;dBSfu`VRR4TV&1)M z)ynws&Xzlw>v)dr@8o<;!+Y;nipgyFiNAKjeXj6r35>(M%dkAZMjW!OUlv(fzwm2# zHUNRI-uUX!l-mf1ByH6=(wCyTY|LI@v=dd{X5 zk1^Q{>f`-p9<>4a&cHiSO#;9Rtm*U@7aZanDMUK@$H%LD61@ ziDK0rutgTw3X2VNh@X6N{zXK#4egksWR^b|{~)GUQwHq{kp4 zrKMf~8s=FVdK}?Tdvu9g>xdR+x!;HoF=(HxJCp>7q|yubj2S+63Mz8nAYL;hx#n^w8IPTtMC^U(O<(a77Bu6hDi15woV|CdVGPD4#7{Q>=16Q?H;Y*&%V0@>#OH zRj}} zSgc(7ESu`qQ;tLGp0MVVrBM~Oqm`61EJCPjLb@WJ`Z)#|9%f-5C6%ga&wr{?(QPeS^>vA#u)_uojtbf(bbA&Y&QwyPEh z$QQoy!_vF@>A>?h5koumr@iw|;p<$HtPF+CfL6joMwg$K4!=+@3zmHNMrWupl0*$% zU>r%I(|u(0es6OGlmfe1qLf@tm`-XY??g|5+4==Ul-Q96Y(|aSSjun1zP!P}komyf zn?i){SQTL)U1QO#R`RsIAsEb$6mO?(rGYN?339Cs}r%@OD+^@H@;p z#@wM=n~3_ajE}x4$O`=)t&2X&;9_~`t=6PfV1{8=&^s2yb3?~$=*&f>jEeeBL@V$y z!!iewmK4!-)k8O|cp@p@TKXgux+KGR*Iw9QTkty;11iQgfU+$6-nZDp1~|5PUwiiR;&bDCjO zArNxJot_SU^sB?s3l~VNco3l!@BfL)QeLxCjj;MWH_4s~;0ep70DJ>FvJ&6F2vc&A z{!zcBV+wUOC%t5j#!v%Ph8=^^pwInNy17&)(qzh`dgV_|5HVbcq3jrNA^+zO$} z#||_2nM5?JDFemeg1{;VZTdvy&U@K|@m17lHiDLn8BUD54Q#tuD3~u(cd733wG*Fo zD!An7Yl(k^i&_Ul3!bMRy%1l~gEW{~pw4JxSHfT_Z& z-h0md2kwvWoSgH1C-3tfuh;AOd_1x`XhP@eqE!`AOK-qOZSE^rjwg`P2O{Z`sutIU z@kbg{(a}NIyGJK!>U@4O4ALDYxDNGTy7`k08Ks}b^3=d5r+a)SPN0IF`BXa#Cek-+ z?M!3DAOPNd$%wb%91k;Xn9h+EzEqK9vUskNZ{VZNwq3xU>S87_&9Jv1T^=ytCw4m1 zDIN!L**#MJ16k>LW)t}IXggOuU#=o@9T!1KYp6S4@HxWSm!`cbu-;6a|AQ`$0DV*2QW>s>Sw1Bd5iZ|rKOzu~FTK0lr&v4xtmLy= zu=)7@FMa37LoGusT8zN_c4eAFG>O}rShObKIZ+u z@_T%l>giVz2tBFJZs@<`#*nX@`eep)jVw>+{{(!%Eek8!5tJ@RHFc%aD!fGG~wETHXh&6$3f#t&+C(uZJ>w|5eOjZvi@u8;L z8f5O>&ZvAr@DB`$c-E8j3s-Dvx7kkm$^!Z!V|&LCd@_NPZ1?J|_NEbA;ye?DS0Fm8y~B|Pwg$kd~qI%byN)q+!Sm=Sj(3)2_Z2mi7|(E^=$h zqJInoMQPVpn+NEUIE|3bkdzVJh{cy}O9@-{>Xti&Ut^Yvb7TkBWJT3=lX>=DI2O@3 z>xiJhVU~W+)A$2sawG-PswGfL4bMMnSWhuRK0GQk2aO`oZsS7)<^(A6$ma4hmOlKS zN~$=FDcj;-a1ab7T-!Qp#NA~>c*zs(IEvRA^l*G|>Gd&-U~fzBAO z5n2WdU)dhc%B83^M+m6=$}Om7n*VA)!?_Vbh-ncqN@mvV5>4SfEimG1{S(rpPp1QXmWI2`#FT zkSs_LN0Hj}alWU*>rYzTC2$SInp>pp$r{<`qU0_R3mC|nN8s?y=q3H7523(i2KWTL zb(c-T>rc|`(xH=Fklmhp!4iH)RYq_e28K+_pl{)}^BW;|Lq$)A+~hZ^C#9B%YR+&I zI{G4y<99S&xP+)&HpxA^cHd4{;~Zbr#QTMyj_uc&f=^NqIG{y zb=^S8S9i`L_CH&NgCXxyb`!cD@=+YwbBb&88@O~ed&Imh4D7TdMU>1Nc4-juwnmo( zEbOWhP=QVFK)?u$lpUKI7lylBn=?%P?r;2{yr%F!#<0N%!yj^`>iil>A8a;ia@o=|6r`k!- zY0NaD4o;>}k-XmMLZH3kqIZTOilaeAKmDr;QILW?0Mtjm%&-fDAJWv=G)^e9iKeD} z6Rb)Oy>bMcD-u?GKf=`=&Hg~)vT!cFMDWjgE+N853Dip$0llz>j`n24=MlcI*guaR z%sso;8X>0e#6SAumhQ<|EmJmqrLORWS(daE;o`_;x^Y}0WRg=TK@Ze_EsP2}Rb{ae zHKG6OsblsdDNn#{9!G-|E)u?~@qS)0GMU7*4Qvs=UYoNPS6@ncEKmZ+gHBGdB@af6 zMyqgxy{We;#b1Z{NZ8#UNp`RdrvB_)iEN4)t2)2QsfncEzCe;r-l5-{j9sYhG*a`q_6?kJ^|FVJ7ZRX4TU|&2i(R zmy(}(<22zRjSAE)Y1OM0%Ps3AH$9JklS&onS~`r7{m{h5CY~>eV+gaM15)*Gz|&7rYh8!CUpO68e|xWDi?uMK{1Ol| zY}h@&6$CuE0Uca!aAkt*lvgWIF$ljk?pzV|@Z?E`5l*agLy>* z2{3NU>J6xoW$Gpy(CU zU?qV4O7Luq(b#rr;`2VsMngkZucP%E3oEuEb4?Wq(yMu`!qU(0eZB3Nnc0u$@2Z=m=Sr+n%N@J; z3MjK3j>-GzzUwQ#u_d?*6PFPZku zC}}drlHP+fZ!p39ck}|74>=CgwU2}su@hT$o+sxYHh#xO7q#2jfw=i)Es8{2F^Sxr zf{6-Qm`R56gbclZ36N1bn~^~t4driMe$zy)A-+Z|g&lAQEi-Rtg3QzlGMPYcK&X9j z3zhCV0A@BzNZK`-9yT4UdVlSuuCYby*WDwwA7?n!>pzl*io@oO2v;f4Uy-=i z4?!m16Pu6)^0;)T@MQ@S+f8WWxL{yQm_IRa-aJ??y^0SA`lE4}hO19S(hP(*edk4% zVcI3vyEaxi9xELZ>03UZG(+2jBDTdlk-B9=nWN+Yj!fv~sl+oS8*|H!Dk`yIQs9AG z;=C>Bzr4l%iR&loYeMR5dyGb|#OR?LAE>PP zayq}kT9P;o?T!Fk{KCVy9(7f}F-OW6YmO#$ox__22g^9&|8_0uXtx&U0A4vpBKlF5 z*Boc2O|X9)mh{4sT)SOr5c-EhF23SO=wJj~mc6yi1KOF-4r^Dms5$_zk zhX2<{@tw)0Y;sG=O(ZIWl0aHs*#_n~iT(Nbz^@tU`!K`Z7Wmec>G?9k7A-gJ>hr@E z5-xY~@a4C}ip5kpZ3#7yZrf-I7g7JxVs#<<2U_+}YlKq}948QJn-1nd3`+Z27nd_| zOeZDv<_Yiq(^e)@=vK%ne8K2t$0ck$Y_eHqt0N?q$`q*ekd^P%GZ?})y)Yt1_(`jz zbe0Gej6h%H?0rf^nNOfw`S~xQXD3Wz?^f#DGadGU6W(;zU*nnej}X0QT?0==*2Gnb zmcnj2E(}>3AW@?VhN!x(C+DfJ^D8O6!xXGOOmhO&NNdrh#+eA2d?|jk_e7qo`t>Bx z4oy0WF3@)^t4*``X1(pA-0ilaoDbKj+Ve=;vVLNQnb7+7e#82>&U-m1M{WyMS>ceTy9(BSSgpc+FYY z@wT^=F+xWmU*`q*2bDHF<-hlZee?y)rey(71<|DMBjv7f4u}i&1dhO|?H~TdVrRh{ z#1m|DR$J#8;&IGVa%|}Lr~lkyB!g5l4mn5aW<4WI?J7M+M@2SFF}4qcB^7ZIkdWlb zTqvwpAXB_!J$$W=;YC-J$d0k?rq5+1+w{F(SjZE==Mn8Ynr&N$b@BDtYzG`hC%+2b zGzLoxn+`o*S644@Ii(R-52f!1ga18&@L(4`U< zmhmhiwOv2t-EMdoapGSKvA2gvhVgEO-CO6QD@3I-s4=rYXpqC zc2sGa^4{=eYv%j@13uL7<@hvS0$m;^X-qWHuw`9hT5b#m)f~*i%gO92nV^8CnS@Pf zM{Cxs8;2cCY^+}{uifiI)zxkeB>KF(W*%+y$#ZAguQ(a~b;QF#zeyp@z5VIy`Kt~F zSk|3As?EHzJdwl1%VV4_B!?E^?d78>^&|ULN3Gt z*fn!yFi!~k!8oyakY@7S4o>IMBwTr#c)J33kV^7yzD(B}ciX6)q{t!tsKun#;l;9c zBCziNT6e&&yBWtnZto>nS<0Is%k$ZM&)sWS0JB<(40?EZa!;R8Nio-BhB@uU zU}NoSLRvwY{!8>ljCIe9twg11{6b~Ls&KRK0D^B81cG*BCm-4(SqUWrPqc419KXBD z1igh(PkR9ddo@){2=8l<_5Cxa7#oxwnrzAgB&6=jhix$ag)xu_>DhRDODK-fKS%}| z!JvN|PWoR8vZc*yprb2FnJPcD-2|{dE(oEYdW#4ZWBUDC;-~3*OwUyR6ICqIEZfgkAgj z&n#%4QLV)L{;qiMU)OG!E3329BP^bxfgBwVl0zB=IJVEKQTnM(QjTDEo_5)xGjMT) z>9!n@aPeW5`KkkM{h+IJ&z4STNNksd@Rh6S_j=BFFI?k}9F0td^#i1`nQOe#Zj{-@ zWpUSW+ecPz4eX=PYN`zS{D8Qzx8j*S!sv?f-uo0%+Hsno5o{N41ko0)`KS=VhDCla zhUi7u`y~V4?z;t+C{g9VVw733zFFE>Mh!&UwQ?W4*^s161-#Hl8UL)|HbSMzoYzdd z1-UeiFX>26lpdDp@Puv%lj72`&Dgg8ZGqA9k*L4mi+c$XP24epB;U`)H?@Ya70zMo zU#;xCw`27|&0k_}1)*&b_H{(3R!xR?nw|6V9>~7_Lykz}ztcY*=fKDet@rJEUc>28 zVh2tXB+ReOM{jP*6nA#ro}*jv#D7u92k7Vvjie zfff9-If|%DL;m*L-_`{E5MBU#9LN+zC4cd%)ASAT8=JAx()sF_x^5es-`)6bv&1qj zBMi`GqAw$B3aYb$L(M@{5WQeSq;3sv{vLa}kDJzmT#%ep+p94-?Bey*$TClMkf@{{vAz>vM*Bnya^ys9uu@Obpn3JH>k=&TGiC?L@65|YTa^=iz6o^fF`6h7Pm@S2SsQNg8*MDhb zy4QF9x7pF;^KUvZOIm7A@~4JqK5^S8O@IIG@-Q@(d;Rd`wXQd3=UE;?bL0Ge<|K3^}|Uo?cG{dwz|slj5>K&w{?1 znW2b1s!D}2k7&|Gre;W%a0;s`Az`V>oXgAFmm!17{MAGx)c;b`g8VhUyv&ZHuXh++ zeDHMBu&%v#-xHfq5d=f&M5&L769?e^l}!-hzjpqd#j)kz^DOdXRnKB3e&b;?g(tfA z9gx2_usZva!=ryDQ;eI*x367D9T#2lUfnipDPT5JoSgbg(tkhTu1;b+nHPGF2w3*c zLa%ilL@i+xNS0yX=fvt5&$rJV2oiW-R6DYUmJ0`ci{O0ukP{r1>fl#ud%URzrMb4< zVbNA_rs*vC6JIQUA+-#Rt!ta!#GTd7VhPPf!$e7x#4o`8L-6D~Nr3@5fIoBZAozRU zUfGC#K4+0khJ2mm@CU~03dhH!IZ_*GFF(Kga0A{R{lmcv4`}soHE^$jPyNP0?ff7K zSzpt)ezCqO4VJEU zQNous$bLW`Dlz~ucey6M!pCzpI@+OoF&8JAN5;Kh5huRlrKZb=2=h{=bjw939)vp=>-B>@hyK37omSGTdHWn8}l*+iI~)q^W$ zOI-8Sje)+i6-aKC@r0$TF^L5PS?d|Z(<%uqK9At#E!j8Um%9DKu=LndUFzyBMFd@H zn9i8%wJ1B?ou{o~X6?Xvptd6eg0nTPEWDfBiDH?+U-@5D1It=D;2{fa8a+%uvG&@o z2p{k7Uq?DhD=Zr_zlnavegUB3O6?R$i02}$L3gK8B@YP|xoo4KV?V4Iv~ z`8W8ft89K}&pr-J%sBn}Wh#j_Kiqi37^?{5YS9^tx&KwQ>S}eg>81+f0csmBxlui9 zqbkDQWfxCQly#*hHv08uQKCQkcD_>R&RO0h*4*QGI9P`F2ZrCUkXdHw78pKol?=De zjW_O=EN%$pA!32`a;?RYsmC~ws$m;}jgB~g^fm?&TnEtQEn^y;CRX0l7Ur7ss=v?p z#p=ED^?m%7g%X1xGUQnLWKIU`sf0i#BfMPzV=F@9L}8zo&;Z%!qhWM5jM7?lpjd-br9#{S4^ucS^W$c_d>>S$5_|Q>MPt|1bPTONKLg#r^*-zXb7-1g159fvK zy!Y9Dz0>tko12T{B!zduQR)QvZg8QOUAp-=Y-(Tl(?*jt;}`PC>C_=_j~ZhiE)Bhm zTY>MEuZnKsNt=GzK-B@d`%s&^d)vTmX2)&DQxH5nG7w&(@TiQV&G@n*&xK)osqhPu zF@A?CP$CUyo(S>mzF!8UjNE4~Db_Ht3 z4Mm4-=yd^A@xoOFQ^vil!T`GXFSHPj=;5hz<}~c$(~_``12A#3s$lRjNg@Y@_wzId z8Qm~~)ev{DWX5M@&G*UrNbfMQw4aJhuQH+c*O)NeBxS55wEJafBGBAAA3mr)Kn12zi zml9CN#K|dSLM-wgIF%co^u(Fp%fGGn->%K4(%txwh@*hl85AXIaAtptE{@s_GZg>6 zGhb$YIN45K?boY{SoMqhvfxyhVfb|5lz_w~l19~bM@Pl7TPLxSsToBqOu~Du5q7YC zF!nvw#*PFkBtsE*`^hE#`?@UW{sC(4!nQf{;@@RwAa+}bC|A4p6-C}iq&w}nbtzr+;=W5+0Lj7>%tNPJ{LWRIRyOky;@=qppzmrl= zARck;^oS)&s~)s$54Bk09ANvrTrt#}*BL2u^X*=dqc`8y+u08frOc*&&Z)dz7%w%z zFE8$SH7A+uiN0UyjW9tg^kwN*29-H?#SNczQQWP*S)FP+&V5@%>V6ma>bRa#cei|%IMGE%?>TitS&pIF(P^! zM7yt^B7HrE>GZY+L$E(BM1f~6e7#nQvtO&C>UE7H=gm?h*w+6222Ti5qV?vwIwyK` z?P=JO{R29IU8@OY??6T@lC1Mhq{_Xq$h||-!@=o*&__Xo<6^+urN_@V48Bhnig3>K z=8qfYz2)}FsgVqG|7Ybr-{LTFMhm}IWz260-)g=rKd=IiOAGs8ko%8rrQILVn}8A4&GZp?|q~uwmnKeWDz!> zlSAQwuEC2Dwj43{r#`LCUl0Dlw0hEyxBF0Jy`lJlC`$Y6QUS$!p!5=}P3IP|kD^SD% zcG?_MxD9=M5Y)xL7IfT@g{b(8qBy=4+*2%uf0jp+^%+wZ5pDF<8Z8*tPIwJH^~ETFmie2IFM(582#a zDI^8MB5%cCX(-R_W;qOdxn|x73q@fTEJ%$!d}DkzO#j9lbo}X+n|OzQ6kudC0w>`W zhpcy4B}cen^dBc~rf+K(mpw^|A^`)?B(TH{<}Ao1Hev4MA^b#tk$!k=ZU9GnK#|}1U-#SB1){7`KtIlJ5^3-)m!;X-eY24gxXM3I-1Y+#= zT}60MF);Z8o5^TP!$s&I<$BM%yScZWoiY+7%r7C}MCP`G*U>4-ng(si z@{;^I-`Jf#69Ld=e(sVAwvYQtDLN4|_e_k_Wh8y06kOuiGSIE{2=IFVe;kBLeF@on zVB&FVY_*-|L5b<0+LDwKyk?!3T94i;Z;*X2phSe|%#Puz{Ae5$qg}A%UIm@@j#HgT z214m%$Jb3o1ObsgxECMv@3jNza8lLuu|NdX>7NNH4k~#ekSO+P4c1eNUbhhC&Zxuq zWm&vYXuW1$mQzB0N+s%nqo4fVzoAh3>w7X6J)BBU_Z6tuqmpQE_YPzGpLS)cO~{0- zS~3w2rn2vmwf<|P@l=h7EvUjO?5`~d?T>YELhB}kqrx)BKOrG`G3(GqY*Q9upWbp& zFshRg?A?}@ncin#sv@3hEvL@h9V+=Ut*%NX8@NPywqCI9#5s)EE0W+C=I_QO)jmV* zhAL+?>>pY$7Zj2j5Fh@bmc$oCWELg%b`*y1#`$C{Km4BD0>Rbk<_0UDg|WtltD+w< z_|HtNLZz%3{!O;yzFiU-p+{WF59k0=e^>X8zF1`&3(F1M*Do}+X=BH`BaSC z3kbZ+2I%5B3Dfq)1&FeVc)1PcV@UJ#gyzOUBMHWQo5&Uk9685 zhY!pkTUBL=ln{0Dl%W8mK*%G=*8%SNuR{G*|Mf*c(o10HcUQ5HbZ%*jWhuoR%ooiheR|#CEp1@)z(_U+0C2 zqPp8gf`EOgFW)09NPy8pHs)n28}6BJf#H(cD=l=n%RlE+iLb%z!X}zLF6zpvddqH| z1;EAk$nSQ?HhZhqWZ@zRD*yRR{YQQ1Vh!#j-ff}SbKOPM9wV%_;%7F&j1rL?>A>Tf za~=6_^An)-MZ=HDa~fI&nsV|di^9=*7SUr)@wt**74|Pjzw}k8%C%&Q)Kk=r+WvP7 zI9PKzf|h3zjGQaI7<_aa?VR{^#CMqUNw(M2mIijRTyYu7fvKEmfHUx-6PLb!sze~o zATpc}mP#w7?yGem%>eh@VtlfE^VG=`&-g##`!W<0${D^RA?$opHuHOcxTo;1UbWNg zM(VLJjyk}*COBey&r7{ZC6TTH(B(W+);*=*=}k%Wr$DMmOzIPr2(`pwqo5$eW@4-Q z>52$+%y9q1oyn(#49umiUo_G4PdL+ZjR4`4F~BLlE4Y|hL{3~uCMYi@&|Lf~pK+j^ z4-8c!skqSlK{~#|XiFDeTe~)ndX?LDwK4tYC27996c>p%X(KsKT?$on*3XikRYkAu zp7H1I>@I{FAA$>W=th83VvGP-hkU9})_78}ypf^V)Dwv&^Wi%0KPbc&j)MRRO?$6& zlyOVQC{;qE^ZbSUCV6!EJ=#I?a#YT@tYdJ5^m>2o&$|lloZy$vUy>3at`91`06uhP zdF=()DGMy3W}QIiaBa;P(htvw$r;E%i{Hq}8CrhCiXqUHHpi0O^NNI)N6^Kbz%RO7 z3|y(0T=&{7Asc_|kMA*_RpD%m)cEmQ2X_VaSOuRAbHYMcH(=V*r-<=TSJ*8 zs0Q&K6xf6OP${nFuaF_D1QI!*A4a6A=J61cD;UtFCwVOx{D4=(Px5DC{%0(U`?JL? zTLIr`ew4S7It8s}o|5&z+BHLwoQ%=pPrc5m~JJ`Jnm%pr5LGWPu^7U6vYl{Q|iibJx@vMBHBkG0zZ< z)+GDRbcdvXvlruikCHRutx_2}xw%e<^$W4$+UUL!klJ@_Z%CiaV+TgRO<}rA;LfuU zvAdM4{$5!h`qo;#%Rf&`h`yx+qpXDj(`8!0%iKY4NE)f_&Z<9yx$dqlB=w2pr(B(4 zMu3iTukY%4EGoxI4F_8De6OX8Yq9j9uc(z812IQNPj0(=+v#UkK&T9Z&B(DKov`oN z+3@$4R8=7uZH$&>=~0)4o2N^LJlJSVvuKgmH}f`AVVueG;{z38u~e-mU1eFoZWW%Y z%(}3(OWPrB?IGyzl~48bs|4b%`YP>Aa@e>0)I;2A1xxx2FM@obKY5>;USiLl!0CdI zwVtEtYqwKi3pVI|;QE_LW4)_Unzhqxiw8U}l;bk3Xs1#b$C7(rM#$}lWg?U@stPT| z!ot-Wp;+y^NFxW_&&99U9W!PW@}+97#o|T)cRtwddaiRvX?Lx=DXh2c{e+uXUjes6 zj_G=?a6sK6!Vn8W^eMpJ-GE&LA`My>J`bJaMhu2#J`i=mAG@++plM!V6F)!4K{-jo zr|%uzrr||V7Tz+G1>qW%x9nnGiIh2S?_Vum0tKaN2OAi3RP)Degrz_C4aEF~t0)sX zAdkaPE!#J_>OKBn8_g74SarWI$KmwUc#(m_2a2|3f<6_KvF@Lmfn0qCZqh2OB-=^B zU5VT9G)?CvP##a>GSE@z}471AWT-Sc1|J`x9CoRIWxO-sgX`Y=k#^3izNW6r|D z-`o7jgijYi)5MC-QDp_iw(b^RJoa?Lm&^;V+pfz5fW6~Y%TSwkk+x`eY4zKZ#M~V(7y!hdnw{e(j_Cqp);8UgSPeU(phc^oran7a>Vd+1+@}8^+fI&^r%6 zcX^Nw32$79q?EkC-5ppITbo1mTPziRm;&}J>G_H2&sL4X03`;;a{2{;L)tukIJfSo zE=K5%+?$nbGYLKkWr5X_KQ^|1!S6JO6rq>){K^GYOjlLdrU+=@sBzVK!wYZf4Mm@J z>!E*6(}Z17hfSeB%}~l~IHR#X{9v*qq~B$ACgiBkvMVErL&JB38a22*P{6K;>yf_& zB@kYwjZX5DO9)PqCv&Lc9H8(1SUc8aq?04?`1D83ds%#cNFtjp@CTB}J31ooxuo0h ztY5t2Yo&h}>Klrk^K+rN4E)F4U@A3yfLh6_?0i9A`L+||!ZPW`*(v)wag1h+r&$-f zItOKfIm)k@N)r6J22RwMWACJQL7x+^pIM+R<#;OnyN8&%avAIS@GrQax2eHNA+iQT?!3O^QcSZ_W!XTwTvku`?OB!F0Nf>9T(w$ROT{ZYwyX{O6++~4L>Bvf z(~>*<=K1i%&Z2>Gj0{wV2mK{Mh%7cY}O_Dqf#*npGEuNfQ)Z%B815Y41*#j?5)q1z*Q*f)6`GCX4Fq_e7}>Olw)WUr4f7j# zm}(JR>wuTBm~w;ev{irw_6_(MLG%-6LsnWE94m@ub&KANh#Mw8Y3Xv8;_xF5ENL(K zPk~-bCb#vm6l_FJk8AjTU^>ED&pu6ViiHe`I33l-83Xec^N18%Gb$v0FLdHo5HJ@; zg`-ga#xeE9ZJ9rjV{X~{AL+GomLYU1+P~-}9tr6uE!I5)sDf0g_yyhv1loThEII?Qd@W`bEXv~ac{Z6MIajw&iA+;rg(R& zV2#djNbO~87K2)2JDt~G^f-0ic?aA=1F)gB3!5&lmJxeE_-F5wd(5drkJWYHk*dR6 z&es^*kgd&{17?}mCTfp9%#b{R(+mta=iOg-0W-e^E5 zCz*u`&sArEitUXh4y4MAoYjf*kW%7B%<+W8vBl1WKaI*Jzu3b?){i&yG!>GYR19SW zws(($#a(^bK3~0WK=bM68g*c}Z$hJCe1dJ(kR|@htL82zSi>$<1!6|}_vmx%&(B%% z8)5<^+n+gF49;%#t{GmO&yZ{zND7Ez^CVO*5f}nFY#=BrIFlzW>ssz+b-+z&$OBnJ z?UupDwOIItOaiAdY-L&uks*A)bm}*jHz8`m-gJ-JOPWwJj`XpFPm`)T!_{h#+f;59 zHY{7{>D01D*J00Kl1(>FSA5F^{wPqx!)~khSUZ&zAjgFDVU9Tm++lOQHezAN14PXuA zBDch+2+6umdon4PMWJmY9q!0!+FI=ds@+yJF{2S#OD6{PMP77OBkU|_4=fb*?v~W5 znP#P6;SE;tG{?K;&T+6f)%dS)`R4C=GvMx zNmY;q%X4wb>pyPWK`H>?Zz-D2(P}S#R_aoqxDf+9UN$I=pRq}Dn(h82VzI<;EvxS$ zg=-wo>QIY>#?1}%DO&6d*RDd%K!>QL38No0Z>7QgtjJVyS-Q>(M-i%!2obtIWU0To z7KQ-jnxR(~E-RoQ%i}C&Pm;f*9&)d0XwqK!EkH3|B>Ck~(mzHS{eACJ)Y;kKMi%IC zpmu8@J#8Mq^E7Cg8(8&XK_vR68}{2hR=C7W*?Nro0<6r}fq52YdrYWNe$#kPZSYv& z=>*Q!^P{jaBhOO#Ul%&pcII|m6gNd5mPcv5^P@v072h?IhEVhRJ}bbp^D(K#$Xynd zE*1Yr9pB&+Yp0lRKIdmAm^ImsLC}b5*w+eg1bTY#-68UjZo;#} zP3qmf69vMBbr7F@1vVI4Wp(YwF#~wYXgXr@IP^~u!Qs)G%e3lJ0>dw^|B8}Pd){p&Dk<(EZ zT5=O3DKP=z#r7md^nSU`YE$=6eOs75Nho|aTMp;?S$}slvUWj4l2E!&Uvm~`*UI=D z@(RuNhD3ku)80noF3nr5`j|6cW8#px62ngz3$WUZbne8If}{{O!P&${6)&}`3~V1*i;ThRU9|4xVJtaN9R z*m5spesjv9?Tvx|!av_jlS#Z6UGHoPINIK5r%whYH@Uacq2GP#Tc#Rv;o&*vsKzj+ zDA|SWg6(K&>UXzHKTvg{4#5^$1-bDa=Lp8myL{wscqD;pI^U$}je}oHjFgNf70REp zpfWr%y*e-}VuSFK6hHP^VM);bL%EZ5`J<;^?`i@byw^D2fhBEMB;&s=To9D9xfbsJ zOtQYd3s^P7al};I+Z+!%5+r?o@5$$Eg?};#p2GY41CIVA)r;4fl@bvTxwEiXrOfp|DI4Z|<+?jJ=t@;UqUUXxD&IZX%ERO$r5 z)t%PopywRpIKluf9DjYQh+xw zBUebtyQI;YK+%2oIy-zgTaSVvGoF&y9%*}H6q!-Ltcs*o@Kl#4Hjrw!|JlD~b+Jpg zk%_^|SfUbMxgCoijjEol1rT?TWvgCz_v^-p_2$oL|0aT}=kBf7ab{^;QwBY1qCJ7$ z5ygB&Hj$JTN*ce=ys}2F_kpb4T+rB(k0XAS7=$aUBVCwiTHzm=Y6djKN92|A>eja$^{f?wDf%zdNxtiduP?|Ji8 zi6b0+8Qcw?4fa~Nz;Gh&iT_6C!pOkXx|CMjJVHgab*bL;(B z03DNFzzv5kREvnMIx02iqUi4G-h$F!E^mIi;)H+-RQ_IgzX|_$a#=LQr z0&WE`2{;~1=sgx7FZx@1i+&kA=kTdDBV;EEpiz_ueLm(<`(~KM6k1P1vhCsoDlV8P z{6&e+HD5?~TdVha_}~@$YsVUE6UKQ(RVj64%zMy~3po!2H$`_Dx0Kez{<48OR#WTb zb;#bL``=jAD!J=V=osNIsUtzjpjXDHmO1agPvpnFuGjM2X|;Iv>f9#0-_KnN%#fl~ z2{7=R*cQ%jS0G91y&FmVWo|)GEx*t`V7x-+tJDekUFt>=lRfs%?}4#p1Svxf(TivF z4WZmX(l4rW)|3GU(O;=_i6sQQSsOpFEdB&tngkA_J)$ky$7Lj<^*M*Og$w1SU@ciT zMgh+srlx-@SItr61o)_B<_;A?<|QZQ01^UncexXV(y!CtyZvb#^RFv8q3r3WXvoiKI8wgeIJT5vgGg(Wu+ZZ>BOhX&*gF-c^lp-ASgp`lCsWN5Uw> zdc~)zgQQ>fru34CaXuYFBX9qeenHpo9-lPiCu&TULUg{Id^Cz^wqf14VbPU{Jt@IV zeOmXEet2~h82I=^7bljS$ECnrl?QgLxi7`)5^Q~D7s|1nZyCuH-8|bbnQ=;XIfXFS2BC9 zQcq=0aQ=EdIRrPhP4DaA{M5cvQf`2*u8-=L8p$#XtQ#qedbbMq?(RelQ}O2I6^S5Y zRIwjc+T{5+sLcBci75J{ufnVcA?wdGZ^-oyq(n|G`%} z(m{3LC!y*uRIf^;NylDl3}M~4;WK8m`~#yRW%;Wvqe>ZpND)L4PQ$rh3p8P}FJ{!Q z;p1`e3RRqp{N(;vK#!s6MWLQgTvS$MZ{uGIy*$9)t;Jbh} zSM@c-Ay95RUOAKC;uty!`ix8Dl~r614a#tB-*4IHyN>Y@UWrqV?wGr?DF5;n#K0iY z7UVrez2rYE49kovSrf>J$H>%1pxhrjSO@?mB+?I<5O6f6?PdBpW+u`ft8dd3E(b zf)0I$!C(ZS3=3I5J_`b8IA?#qne4eojcol#^^OcU07&2a=ay|gzw(*4bqIcW3bfiDEO1QkAkZw~(R?yM8llCY8z+j>%C_`?Wgi>)h1w z*q_gCfn`KU-BGAJOZ<9_blxA2$!ohXI?&q|09(xzP#^=%J&&!r{j+U4GQp+MmxN^$)I2L_xoVFzLo8`K7{Zy6Me5l51^M zVY2=DMIa%5rFzQG7zx8v4yV*S2gzSQp{r0wx*gL;VfDg0wb?!8K40kWu5;D7Buw7i zP4;MSQ~aP%6RBWfY6ExRsD{gvv(TV=sX|p<<+a9CEHvm6@osrHgQ8I)j`@aKq+_rn z``2@kUPe)+SvkbBYbrLdy{03n-Ns0lKYbG>tq5oX{k6?u#6DuXxRx-t{<$Wbxtrs* zPreRS2mKc?9?N?kmpmO`ve$NFcr73W)9jFBSv#Kwb3zL>e>OpUKO?DQ9^;PQw3zpY z*ymc9Lm{I~69gdQ75arThXVNmLd4xOccX4QJmXY{^aYx*Fy%>wuLWwP@4ejNeadL%5BS+P%6L9wI6L29ANg-5S*M5714}sld)<`*>3{n7 z2EmzBvDFyKR7~SfA$EZZ?Jp*t4wuGmB5&NdBT*EC4KbF>06MI{Xs9L@An@_m>Z^PA z*U*8|bU?mniOFz-i|mUJs^p1;e^Ek=Yk?ytd5J|!Y>d_-t`v!E2TC9DyLorx zys3WikOksihH|G^Yhohz#eX)Xyc%4B0ZPGtn#2ovQWln-bPb-4n@UNuQg1@MpQlW# za*bY>f8aigVOwQ#-GdssgMOq-L#v+=Zx06aHqq73sTpa?!25 zjo4|c+-0!FKgnxikf+L#ZdT-rOou#1nq!(V;<%)1g0&}?a|UzZS8@t;BH>~tPfib& z;k%6QL`+#vG20qx^oA_jGdD7=cy_7p@6II)i&uV49c`%BK$_eD1OeWdL)-EhH03K zVPkHlxU13RM07xqwhtTFU)~v>|4yJRRY(eExxhZ;W&WC`BT746zi9vY>d63N1DahN zw_+5{;ytwC_Mia_`~Ca$uXyi|k?3xmlGeQqaD7Lr-Y!ixK%w{%;O08tLHd=k<7hn( z$%Fp}E_se$K8o}Z;hGuCIF;NpA=ccDERlfCkm$nHjAK87HLy@LZ3g^y{q; zqhe)AZ6$y*1^(tloY4bf7vl0#&Frp&63&0LUEcWQDHEuOQk{#rrvBok!mZ=eT{I1Fj(SmZy3qRC@5%Ay7;v zE`vPfYU;}VU=&dP4To@zmRs$kxJs_HmO^}A@jPv-N*ut|n0^}YB_KGa6kpq6+K!nH zlddZa5PyaAmw+)#7gK+?cRs#q5%8?R@cgcw=n);x*)6VALk|v%TIg?C{hhwDOHtoL4)UP$!j6#P7@5 zS9)P{7{UX;wTfgSx-*vM%ZNwIlk>(zJ^7QvJ!NmwxLZH4t&2pD3A1%Dg8ShkpV{Yr{MH%rQ@$Rk>D_>-oww?G!0#0DQHxbF3!H_<4dc$E_ z8HmC&K-5F!?=bruqoJ))lGTz5nzG8sp1_A2s4yCE6=fm%L-vw6@@^TEm_S@bC9C3@ zdV~1S_3*dz4LXw3oD$VE2YQd8yJQNxr(R5&r_j!d{nCz8+%E`Mj_bP#TgiudB09OZ zm?m&xP^A2W-j%p(-LfyM;}5$t_cx<`vV<1MY)%A~>-67ZlaOQ`EH3!o>g}QWk=w(s_F0FF6qYghKE{~QS8UbJ>5kI-fh9a`B&OX#$Lr}FrFRBo~QuYbp zR*hHs+5H|1#>-@-TxN+BstJBDovY3|gwlN5ZrspFq>*ewtWYd5F_Nt2Z=Y|Ba+heO z7tPm;fVU?~dF_VJk8g!wF3)lI5;JwpV1HfW-)HNwY>fL!C6rHzFr6JmCl&akKcmQV zIFO*c63y6m^ji*u_lszeugHEl5+?gxdT#pB0)(y!7Em(JIHp##OBUawxh?YQzqO&e zGo6c57AixC*-wKuz8AGU;-0J#ozsi00lV)03LuGsBZmO(r=GM-JbLnGojY^iBa3E# zgUDc7C?Jut!eCmu#o923%>1?nJ!R{K5QHDcHS(py7hZ0f{LwRR>ld3>BTnnp3e_;e zYQ~bk#bg}r>hfl*-5$E-I*pwF+3euDw67g=Iz<%u;gaSwN)vR>N-qdbs%2uc?L7L` zl^0CL0XXfn?~VocE$oN|E_*eK(?|7Y)F*RRN{c9?Vuxtrk#qVdF-&2uWdZ$s3K&2* zZG8nol={BAncK{kOlE;t;QkfXM?*_4TjrwG7z{$!dn`FvOEp1r10Jv4-Ot{pRiyYs zKcF+YoN@ZVBkxd=wsx8%Hq|2MOvY*n{FiK%ZC<3Y{AX@+gS^=6umAgoBcc${3ox(+ zI%OastCL)^7IUOU_H`pTDwvucRw=H6``DMS!mYvWWxh4=LlSpbb$gGc#4-tmC;Tm@ zFyH3+m%}-8w@@X{qQYz9rv2*uCza~B{iC;kPKm1Y3qiuWziK1yb25- z-YoN(@GJCx*R%1ppk*yNSR&(z2)R0IeWa&)$J2jFNBb@Aj)E3aqRfynRj~6eJE1Ek z*+HcOQP@ZhqjD3qG{auE!t! z@@MTKmbY|1oqvCv$n+sOQ##c!C7&D#bpOx*o4M}V35*LA0Lt$ z%jR+(hyh{S{=`Yq)UWFI);l*x^3q7fJd0yejJ7N};`A41-Z0t3*j9|gN@6s_w8z)9 z&X%Ne6q{a$gqIpw1%8V2=vjd2-mbSgc&`%ty<|mZpR5CNC#7J5*YEfmq@*n2YxK;S z>+kwxvBO2U7=N3G?VawCn$JvTwf09GL5iN2Oj&%)vig}6T+SKR{0$HOJY$P+QBL@+ zXBD-mc17S(!W|gMpGv?za>c;rookeF77cY23LOSJ?)~N$IGJ+HfR2V+1<8M`%Buf6 z*rA+3!Y&VsQok1RW)=(GbMP-_wQ(~X{G^X?Feb{XhahLx!0w2%pgYcjH3yp%IL>XF z!{SRw$DtmvCPEllgSqs|v%K&kgL$y7K)Kb9E58Rs$J-#2oU7W#IBRODJq{oX8O|m* zpjBJ)Dk*WLq?3$*EVSQ_O|AN?VtmTmmqSU`khrlwuVmWK){doYRIaf&%VLG#&xa>BkacpK;qA$XLvSYR5bc{1wRy^x^w9qVXyxMU9Cq%F%2nr z^IPE*YJ@CB%lq$OIc>3+%p%__9r!*Nxye2;t^Hg~S9T~v+DCk1aGa&orr@la4*Zl< zf0P6_W^fwrBV1V*QFX7R1)HNtU+-(oN#I6Sd(mIC&8?->up<&!VSbGOek^wc#wQH7 zCrX4$K=8*i1(@-zP9QR&7NL^?2k1~}_*&gaert{~0_vMK`*d-hE%`3NQXi&TG!fTv z&sN-Zm%TVq8RLJ8F_~9g{`pq3^W^~ZKj|inGCrk`9#E3qJCmXGeaNK_N5h(@K5`r# zN#CE%_h#rFof+pYaxSo(fJ335blV(5C%dbiZz2{;NQ=AkS1O34jFCr=R9ciSAF>N~ z{3_Fncl;4Y_X>JP8->?;yB$9~N|bGYU|34a;o_prztFpcnG-n86eFSQ%Dfm?-YyhX zk$B!?Wn99OD|a!lE3|L*|NHh99Cs?c+JW>mtPol#N}r9Bnk=Cciz8rUli6N|k>6i- z2ICc^F&G`P4l9%8;`oroN7sW-#6{))=d)jD;ZT0Hj}tQDS%!a=z7)^yJgNsp$?GYm z39mB#YSQkr8VsOdCP$eyifin_42y+Hf)cy>z(rR4nz@Sgf1%a?{Pf!k%Oqy8lYbv+ zIdkENOmBVOZ4U{*@Ig#UT7E!u6b3EA^L$Ph&_;mL%@N!^>?=9~>B}vP_&wLqy;+^) z-w(I|*Oi1JzKMdbCDA*(aSUybdM;ULdg;%PI(Eec;B4${<>a2f#lZx9Z~XG6q=&kw z@y^j>OZy9Jb9GnNU#OUrtTsFLhpT>68~PmHcGg&z|K^NlhY5$pm?xZRC?Y2GXl5JR zcam)+R4@ttREu*edNNSo-96u4K&kdgZ`U8A5AUH|>Rrv?g0%XY5t3nQ#v+jywCB?V z;YKi=D+!j#gaXosWieh_QctS0Evx^u6sAf8-rnVF}u$k2yOyRn)3(LD2i)`a>O6_khP@zP7 z5A?#N>%CEPzaePINjoz4g{pwBWePg&)o1DyU)ckr9Py2Bk0^ep=Mg<3v|gUAOU59b z-Df@t`x`_db)j2PO<+=9l)}df5n*rmQS`Cf^iUy7_$|j)EY(X)u|A_Eg~SSaOYSI= z9MOR^W~9`*&=y2XhX}n32MXu*++VMj;7V5kL=={o2thp=Yb*tj4YV<$t||XG*_ih- z_GZccX8l>w4#`CT63!XdC$q$x(aqbfq~>*NGR@O#e8U*FKekFvw>Yi zX(eMxY^SesO6f?&Y$f0Cn@-&zIA$({n*AtwDf*oD6IaAlo`g#q&|ln*_SRqRU>uJU zRqfNi4p+Eq0x=W0H3mf%Qgf*KM7{DpeecY59nLVsA@n@>#>;?;Cx+7h+=15Y3i+9y zG2N2-UfwyjnXAXO3P%P;Nr0dtY-V|Bc8F{NpP%t%!#C7rc4O{c<|>E1K`PpzDjSa6 z0Ki+i>F1ngv1}41V#KR)BID(angE`zVY4v6^(#V{KK#2~0Ft6Li{lIuz^(Q?jC#U0 z{FXncB>!`u=d3IsQ|&o+VZ9afBe=EGlI7T{VkA}M)idE*OwWqg`aB}KQ8e`7H1#xNf6N zw|(Uu>58V{R@GnW-!FZiNX>$S-=KH2eKHcX5SlmBj!XJr7O8mu)`|NG$64M&i ztl3|9ROb^m{&>&t&e9wnR-Ch{zM{w&{P~@I#p;#Cys9hO zlcHez=jI4`I88&pZu>!7Rl+p-eT20StsBPc9Wky0+I_7n9vy{yaLmFKKnM9SWDS>@ z2C$QwYxAvXiu|pxvPNqf^C+-%<|6f6w6R>}DF$fs2aA^ooUY@c3MelxE94GisD>}@ zHgRo1*dK__CG@#%`ihUQ-^R?iz4rC4QTa6wuN*<|C}sYQlUM!2jbQE~B}e7gqr+$% z=f_*)uikI|1z{w$ZdnXe{5b$}l}hxi)%#LGNl`lxsP`U>0*c-%nB^TC;)gmKZU)FB zzSB;sc04kB)a|O;Os!L#uj$?oz8yQU zGvS7WYgy#ZQld7_Zv>>Tbg9}m%~sxUD`2xvUs7!4mA@V+23-9Kkq0<|!(O;uL@Ey@7)vUU5mT+$fzNE5I4ctQz>lf3B>A(2W|^ zu^w<4>a*}aSuHT57lC34jznt!R`-X}ibM;WDd6erDMK7g!zs_e4ri_e`k#jkIqP{c zHBb1tT4}V+*x9|xj&oEfTVrCwH9Kx6Q}`6?-vvSo+iDl*8m!a@_7zMRrnVwV z6!OuXt~*nb;f~Q%rpeg7S$Tpu3zcXTd9m@r*Ib=F)k5JoS2l?Tk6Vge_@i8+OVj#0 zq%wBVAOL;VItEzDY!5t89ozs=t6K8HjfgtjHdrV$eN*Cw_N9P@l}i`IxxKHjSNHHA zydqbog>iJM!f+ql-VAom@Q(5k*jWnv)PK94$gp2FEsvXw%_og#xiiLcynys@G^C+R zGz+j-pLmMn#lz71(}Y$XV$-`}s_@Rbx!@}jYpyaG%X5(wUTUdgP*QElg9*pE=YYVQ z`d8ZR&1~C`!8t-)G15aBb|XWD)qji5SgwHfT^Fdt1?6A{uj*`pi5IrDHm_%?gZb^Cu}{;hlkaLO@b2Hll@KYwM} z#%lEwDa2K>M?1PwO?X+_9hJ*cqL1o&N(>B%a1q{aP}{|lpayZyKFg->8;nNtjP}&A zVM{i1i6gBA)Sjf{4P8{F*!dimn=L&OwqIp#elrz`WL6f4+y%Y=Ji7ZTc)Y)EsD*5S z5TE%_VNyVS#4T`)&JRi>Qr^!y`u z%k7djIk;5bH4K_>^T#e)w~)U(z)(L6TnJ3mC5FkUIcF_lI5`L8WS`!nnGcrGeE@=l z;S+`dKPGT|oSYwa;gSozm5V_ z1Kw^ok-e*O)3mMzioH%+bDB87xK^07NrxU&r?6P!0=x2anX&TiV1ZZGd#pk~XV;TV zbB)SwUTG+8|ENL;B2ylU8UoD4J&B25&2N(2iVMC}4z7r7xyUny?)?|Q+@P>b6s!AX z1WStz*5bTz_{#^cPcI~kSrw^aC9~uU-MME;ruF_v*s8E*%(Uj>ei`oj1$G=(lrekDdDl8GYxUVkJ{8E=r2O{^HwI{fRBM z*w<2wUxp;8L*Q@cB7oCo>L`f?2Kg=LUe;s8Z2Hg9_Dt;}8+-2mB4P40_pJ8(_`9DY zXQg*B5N?@2lFdu2EswBOpNq%ie`HVCsh3ivQE6TMyKX_{1M3=xfnzoSubr{UB+v2U z>K;M9iDgWNU26(73vjJQ(YXr5W=BgxMmYHC#$Zc}@mt+a6C2q)?7?aI+adfL=cE&P zf?2a4+$mS>DW|+Fd!eKMi7LiO`BSD=*hn^a{-i1tmnPYU_V}auSb+;caZ-F zEBR~7nwqz|9X{s<^4anS%Blu_06H_Vqm!Z*Q+B%KB-cRw?HCBY-cmJdL-e9e zVI;#0KW&Ud7NPjMyo4RL9>~33yl){n1?n}5GTuymy{%-T9ZoF@!m~G&(N=|o3bt#8 zooN2B@GFp@EgW>_7J)m+D`Aiu9Egc<{kgHm=^QJLb{3J^>^$wz6>V^29~@D80p~8D zqrBTZT9V`{b)EEVxA=Q<@mdD&{r>M-x6PQxWs}$rL*bseZru{$(jh6;*l@#r*Ip(5 zJv6tuRlz6{#L_xZwh4eq$uNl<94B1!%T&)Nz4qq++tBBH|lxo7sGnLwzl-C)+u3p z3p9gmnJNm;vAXD|VWzTt%rHyDQGOm+@lED7NGV zl~=zz87{(EDKpxxLbDY%^Te0|6hd?&MLqb4H%Y{Mzkacylpy0oM_tpeu(o(FQU0$+ zx&kHK&@4;s@<(36{^Z?gN=@b6FHDv<3Vd#=NGgb!UciMo6OY-gi`;*u~ z`EwF)e)?{U&;T_US@+8bq}9jcQ5Xi|kCr&ULxaFWwSG3y!2g)Ot?r5Xo=s^|phV5j zF{WUat*P=ERG$`(_9CAL%e$W&S$mpDi4`n>imkD!C_*(6%_g^K)R1tYY0Tm0oO?8) zFtmGltj{C=w?WOFN> zjY=?TrB-ruu-C6ntqew(q|h!>SO{Mg3TZd&5Eoy*XK6KL61z6k%Ihw0;HdpktqLDPU*%Bag9n$0KXe8 zsv!89o-SHfMcAv^uTMqj@9fF-VSPl6Rnba@_qvpD2%S>V#bM%IS-ke%qBP(V%i zwLbr`)jS_eagM@lj#+*4B$Ri={s&Pye`Q@#US@xPoB$=xQEEc)#fGeqC=>cMQ+JfA ze@quG*lu&x;yR7|-1&w#UM9jhLtOWinr z>+E>`Z77ZWX0`+P3T`LD=%dW8^7O>+H+t9pDaW(*5sffRvjJGe=TQB~Frx7Xldq$5 z^wFW8sWVs|(vtMXWm+pN`Q6Wj(kvK&?3>r>RayTFZSSPnfl`7U4|NrvL8k);kU5rR z|4ydl1v~}f%To_+oep_{YxHO&KkGj9qQeuZP2)OJ{Kn`xFN7k1IR*#hvX)-j;3uCE z6D1GJV8Py?tM{Hh4<0Fbel4yWETI|phWlh)6cULVcUFF8%r6^w7~zcCH7cYl&wUHZ z|83`cE33dOb1d=DiS{aCexppgVhd1W5{B2skiQvdG}(HnoM zyBV_68dYJc`f>RKpQx=}vAZo_mvdio8J$j=2jV$a=eT~g&D3ilnI<|9l0Gm4JKD*? zHN4z{lbX4@u>=QJv=}=f0)$V|eU{IVeU{ARg9D&%Tr#Z~PxT*Ps^}ASd|5A_2=6z> zSoubQ_%k_JSW;%~fTdcRJ2@YEKRwgYd}2UF+Errm_MQE^C1hf1dbomqtYF?v)${fQ zzYII02v_QVs>UR8Dtzw*aQUwpy{Ye)!Z6kE*@W&D6p*TIH4kVAb0q7Js(7=AqLOU$Q;^NfyrVovfGd=Rjv@NkJw<8~}) zhb#MPcA8Y@g9T3nkEi&cT%JMPd5&tQrZ{0*n@X2$vj0;NX2+Y{yQnbk6on|BOsj3N z9P)w9BS;du54@tc!*V;BxnU|}^*+CV7EcyM+B!wahVlbQM`R2~$Y0Lje{Q7xx0Y^q zKRbmI!h&a4AAQRzNj*D8C~ga$6YYwqNT&=FR|wHJq1=U0=@Y}DTLI4p8@7(`@0Au( z{qwu)&lD<~hdqlq4DbBBrbYOlm`;Y!v$>DpZq!+^s3S%ddByp`MzIs>{VS2=NN@U`qm`KPcS-J}fbhFje)DaC*P3@ZKB7XWi#1Unh- zeqJv{4KL#5gU_OlUTR?e8j&sqR;f%a`74Sx5{>70~ z^N*A^uMhEXP|qOM@@|HiV@zqM)X&XD0i^z!ey!N3Afnbu>mT?vImU<>*Z+nXuS1Qn z6Ad+($Y8XSOsAxwjYyTFBKaPW@mp1HOmJM}pKR9=gPLO+Wxr53 z=IkqM7aMZ7f^fk&r9ZFl<`?es%JjkNMi1Lm*g8%2I6stfuVKEY-$}etIjMHNuar|v zSuf%1-EB1iC=vp}k8r%Eoh<#Z7$5EDrw&4MWF1C1kk8npu!IP=5`J+;tu+JJb2;gJ z)%L>dc#Vc7I;qKyS^1d$l_1JQs9@Ule~|xyDVpO`Nwm{jpb?asCsxB26^A zd8aa%l>Y~&$M^D@&2NtvcghTpZfjJUy(Z>#W@(KELR`2I_G`Z20jIz(sL&{bax?GI zkgda$R8IM`FjqCwkF{uyi+F!pnw;~%9?@k(@LjHu86R+zJAN@IWrH#%?L{1Mw!?e5 zbU!c+Gx~oWV^POTATvPJ!saHqZfyR+84C)rvqagyej#1}z#?uf!az~H)&p5sOerz( zu8dR=2)GB^X%%<(04US+oJE@bL8ohdiTl5d`%1L#X?ixLi}K0Q22Qok`8h#oMSnrG z60VGnd&y05<(9R#@@j&6lE`$r!5Gtvf4}aH%~b_)|b`0VFS00tKfz@*ICg0r_}o} zmyy~~JeiflXTqqA-~I$izb0CKmD9Nu?5exOX3!%4%kEUiF|=vvuX&1vtBKx3^_y?> zl;|5`)VyPeHRKO|`sK_ucO43erjYh*{=AgoqV8OQ4tw(KJIm-rRypOhWVQsnE| zee2CRspOx4ZW{hlKdQ8!LHQ-~Rl9M=;zY_ec=K(`K4jdtOr{CnnuTu>C*A1L8)o5?S zEF8jAvL0CMdKj@1Byg-_yptu<0NGM6v2Y)~#?y!i0FUu{o!kk%)kt)~vl|`V@+j+m zhBVxjlpHQE5sIbNvRJfy_6!c3*K(=u)!f0qOF$~r+n<<)d*Ah89SyfUun4^5>xln~ zStc2S?M|WeS4kuVysBeu{AxKhAHo{b)4Q66yQgO3|nLQYb@Zsn(k) zKOoo&P+Z4m;Nwf9HmzY`RrX3z;ASnX{=nXsiu!F#o7?>JG|E_ne>L$1D;^KAw2GjZ z^DV&yDFa^hO1;&UISrYX&S?WFT|~eijQI(I9_rW_YtB9pZf&>ntG9qwBmwod)jvQ4 zMmVB>6-0H=B5Je6wCnrbe!Jdt{_ihwg_OIraYx*_>`MuGhSff!9kuq)CnpKJbhJ() zQApawD_@pTx;x@san*Uh^E^KAEd0qnN{qiC2IDtn7~oNOPe2P7HV^Fh;%w%gf~5=F)0)> zt^r`K<~sfn+0Tq}Qk9@WE&*s)yWc0bz_;6X@?@Bf`Hc~vF5w@rQ03nnOyI6#nj|Gm zDC6DKI2Xm*FmiY5spvJL*7r@AuxSm&@n18csz>|eWkQc?UU;si`ty2cRPNGr-5YXW zM9T=Nv{kSwc93^A<7Yisai_Ut@6|ZewkFVM6cU!9EHm3l()tV-)0;A3CZwJfU$*TMUsn)%!H#epBaFuKvutjHu2YDk!JLgw=InHDSm z$F*Jk>*<~-j=ZkBWg`E>Jw0m@>2Cwk`P@`|BgKqA;BgJy1_miCDfRs8gamg5Jr}x! z=E0=6?HR;9hwsa&XD-et5snm;@-pR*$(2VHea`X?d3Obz*YV&EVpK2i9pB_W&+yBsHH%WQ1@`6obI zIAMqx+leojeM!tQflHrwXlW6OU&2$}N%?WPg#tBFMFh%SK$es9>O;bdfg%1MUOF)m zLW<0u_TA6QDc^S;sO{;w?hKI#mINm{7q7YGMwp^wP&^rQ(5JdiCD4%(IJ5spU)4pM)Toh79?82rd#L*Z=ty!$!B z0TWiO4%m&vwazNa8Wg9&X7_Z|XBF7ld+fV5QwFf)aI%T^G;9-NE= zo(eNK+>Hj~y7J7X`EdU)u=32Tj%3)#czZR~&oTlS<{nnX0JR~FkW2s4ebm?>jhqQy z-Xh`DS{Y=@U*mv?Z^i*5#kv}^&$aS`A13zR=qZtSLYu)mrE%mF!-mhi1dq+I3E?J= z`wvLl+=x$~n1?Ym9%oTs`w6NdOqeCk6s#V{iI9*RO$BWUKvQwjdq$0nx3S^M>Eu83 zaLb>!yZ(Ex@(Abb4Saevfn6eTjpw>KA{oZ)TV|!fUqsD#G5!qf|7x9JzEYLT=OREg zUev1yQ4!)YDYM#fWY0OQIX_ocsskm`A;P~s{V z*u}O2yE|)xrO<&DH_Qrk+!f-Q0blvfJAG#gx<=_m9aZsvW-D;R3=oU;`(_khW*lQl zyJk~)wUqYAZ4@jU-mL%yKCNnK86kdHBn&VYu~)T#&f4E*fqSsHj@h?ykX+V6(VbeC z6Gd4)@3X+|ehSRY&gVz)OZzx`l@8Y>h&pO79SpJVFf?rYO=_pB%b z$H{uJX3Dvkrqau!21;z$W1ctZZA(>ZrI?!hO*n#0!`fV#LAxJ+Q)M9+4GyLGj;A_v z_R1BxbU#u4pke@WW0#Y*zjQ@x9fX?gQ}9~vmwdD?HgGhQNiF+>`v$4rYSZocmB?aM z=Eo}ygq;e*E1v=0$b}Z|Ca)p2rKmoe7k(`QP~#h#68|TcODiB)Fc29WYjg{Fz-}w= zJnLl)85mStH=HHZYaa9XARztemB1mbc77`Uj3=JLI! z5rv3weL{+6e8U-jdrHm2)N@N)Si&bVuCxDoDTlE@D@KYPs_|ZfC*#FZ_?Zi07I>}N zt=X$*CgneagS{a^4XNY8C$qaO8s$)EdqRj}6JG1FoFxjgRVhn=nK#I-><`&3SjMS` zKBBRk;
    q0xK_nLQLK>W+%q zh|5P!v?ShOR*LV3iV<4!I!Q4bz%8=GsG2;~9%EVj#ZrfMhN|Zq(T->n2Mq$D{zuXF zUMaE9ED|h zwI=R9)1-Kidnl^4le=P9K^ewWxfVK2FiPd8%4mpTo@}bEVCk@iu*sy zLfilbsF#0eHzU81a`vC^Sd7eizDrZ!xA|&E>|Ha3BP+`|9Ukh)63;;$1V*;D%KI*U z|A+wr{A-TmU%SJ~=TjFjhYo_Im#RJN3Q+bA`*oDCL^=sAR;M{u3% zJ}+>Tn6)RkQ{z}3NEVRmJ?K1k_@*9>&4Jn%21bs1xBEIkiM_U z?FMM$zss3=g4TgszFyx*`X7M;&!AsQcC3>xppwM3KHZ7R_eIE~97cc2o6Xdsa+9{j zZn&r1Ol6t{{h2ctt9g-F)gCuLt)->e5Yb0pxq#pw_g@qjGM1!n&n!JhMHexWC8JM8 z2KxV0IFuU4RLlIV_a%V2$yBxMxO~)%QoF~~Z`Z7z`|CxknSr-q+uy!*2zTM*o+TW= zU%Ak{r)a}x3&Zjf+OqW4vdNL)-tx|SCnhfrF!qb3tbmY0c^}(!$`L^EUI9nCuq8hGZ}bDFEq~a z7o1#u%8CYTDVpW@~PhH_bH zAsGrh>-mjiyl@5oi);yG0&Su#QwF=;2IU*C@XY=%;hip23i#?^+43Oxm0}uvXpMrK zhY?nH2BrFMMqiaTmO+&}S>Sj)EmUkrR7FdB5xQPMx!_*gE?*C1>g2#hJt^~?_*nF0a2sNktPb<#D41g78* zdz*#NSj~@Pw?J{i?EY^SZE6=yua5v7&4u11G4yGq8JY+jkT{^&U-8)yy)L{}(6P3f zS=G#tn8`3Zja`nc&74XWccN(&Ge*~t_%9#h+k_YQj^Kz;G%3MdXA1sBalRugwQ(d9 zZ5;^a9UxjepVhEJzK76UmlNQI$rWyg8hXbx@O00bnyEgiD<&trQ5Un#@pMcSIo?X;Bv97N;w_lV$yh6+Y09P4}s` z*ZkSkSuE!E&#fgfBBs_${ZJtdnVotf0gWi6Z2_$lltJLBKNSY3WJc=^*h1lMMgE8m z>e1r7rWl^E&X>ef#w%hYpQ`Te3Y~bq<`8I;ZtreH=!{fvLxwyy$d@bDj1wBw-?|R; zEE!g!7475a%tiEd>!QbDCb4M0gDSNn^)T&L!?l@|^E=&Qjj-8aE}2Y5{}PqVrH`#M zU7n4?6x}4$73pBNzxBURdTtnT3;m23&jRp}^~C!QL{QZAjTzo2%plT@D%&FuD&qXip^d`1f-=1Ab%^>i?r5@*Oi98*a!2 zCI$4&U->-^GbBZp`)@Lv{yo1k+IMOL+lz;Pfo#Z=)P9XSBzcq`|Hx2m72Y>hWf(km~T^SGa7o)ytYmwNE?52R^`IoE= zx9m@3pTdF1J7cFlk9VhnX*^#JlO7?dJc8>@3uWr0sbZLO3~F>Q>E%CQPs*Iu3p1b)bI5jtiA8(qbjCxQ&9c!lUh`(Abf@=Q~nh#uFW$g=RL zUF6-%6a1*;NDp7@6TGCy2np&2P>XEFAnLxLSeNdBIoS7YSyY#;<1$K2M}*A~v5FN^ zDjDJSm2xla-?`#o@qC8a%r6GC)+a*C;Y?8QSbZ8ZANaHk&It}n@GH+wIhY4QrW9=; zXNum*l-2?85uQCh4&VzNI$1W#y+n9M__NzAD2u&*c;S*9!Mf5k3N>0mrk)~Ahla`v z_C6g0|FLs2K1BJXY{G@Epr{MCAEU)*E+aM*z61x0WyobmZJm1 zX-(qlX$)?~5@PYj>bM+&0 z-RT^Y?YnticlVghgx=UtY*D0DQvYka>^0GR-nU>Hi(@=dkq4Ytz-m+8S6&GjC<(%W zT)?sw0NHVGW5r2{)a&%b_1l#X{&LZ2FwR$OY)KT=m=&8IgQV{syClc{l9MLtkNP8K z1FOnxFn&Z%nlL8Jn}L*F{5tB8XYDsg#E5es`KgNBrg*Ee4-C=B40LiV^n zd?mGL(I*6XWYG^sVcdLDyK{FqYrJLp(d%BAZtKxJ_G?1*$GmbDV?_8rRgSZng`XM9 zW3vMR&y4j}bCM`gnQ$5!&(N^3%sO8b))V-!@h(UKejS(tj4}en()@Qme32oE2ples z9$RE3JgQl<0>A9jTqm-=3+Y2`fu|tq_rvQhQE#gPJce;h+Gq7Ac=PnX&0oB%{Y^Gr z*Mrl_jv)DxL`a`W28fR@8mg=Lh4ze6M58DA&MiA?h8REQ<~SOn-+H6j8O|yfJ>9hR zJI&_5PL4P{icamlJ+RP`9pgKxijG*nvmJKndKHK^RHND5Bv^x)(Ns;>^o=1N5p_`gob`8wmnYpJ%7nyxmXqgc z;0ZeZ!U|cZpz0d_k!*Un1V61J*zxwaw&M#1jH_dC{c^{IPXW?4%hX+-aHRPAUmH0? zK9WPzx)$v#Z)vN`a=ebJII;Y()x&hiMrhkc=VOezm8em9%7XJ!X7-?1lYxS4rG34}3S z3HRTZSheu-RJicDW~F7gbRhBYXgrXTa|LD^+q@%sN%)UPCnIL~)uii|H{TrG67p~^ zXo*Hil`*ng766Tz#4ZO}r{U#~d=*Yo8%bj-0)9DyKfKGTd6aJxKNtuq|DG06_&6wR z)~vzIjFhK_2nkHCCx5lR&jcIhtsBDS!tnm=+p!3>Bw)KNuz`m^e3vBCqFFDBvsh?=)Nzs`(Q z4sMNE7t8%i+~of6;`M(5+w2!nYZnd!9D+ut1s9%x?{K1aQ_bN#bOFJ7lutJOTeR8| zFofj`?WaIdNKB18OKh_!B#wX_5Ujj4AxeGNRWh$j*bkb+_VxL$|6vOluDq0p5;+iE z0VB=h4J!)LjGp~6w&!#!w)tm1^zxOdouVt>4`o2NM=Gz2sl=uZr~qQ#n{t1z;4cVO zGA?0W2=%8Fo$J_ZMkeGUXD+en+8-ur$w15g6lH@pW>_ z&S|@5>|*YY==-<7mVWiT(CD<8aGy^n>XXp`C6KRLfn?T_`+-LnrSA59&STOu8)np+ zubz7|uF7wQ8o08@!WGZ=a{T|5!M#qU`D#$0>R!~&bf@&GWMeT@T0>cqz=rvEIP zld)L=+5kJIvWk zwbZ+p`C9h~zpz(KIOnzE8&#W-G>3BZE)$dfdsoJTxy+PRqs>0u6~0C^MdFnUf%-7e{ehwW>Q209-BX6J|;6iA@%N@<#rN9-Y+16W-Ebey`yD$*W8^ zW=|sURWF8+Md!*-dftEKEk|KbuQ|UXCG#kAsRs407M?3^EM-SLHQpR%oDFHni}HG_ zG0p_VVR6*4v^=v*;FF{;*+)f|q>=QF)54*kR`nZ2hv{&a=*E4r>-egzKF<{RYHJ;_ zo>3_nZo@}qSk-83>y$#+x)J62QHimxzv(-%>B^CL9GzwX5No2q+snOx2FH=l;Z4T^ z`h`g-)}l{z)6!IX{Qm!;?JM7!e89Hp?glAoP`Yz;j4lC*iIVc8OAr_--9u1nG)O7Z zAS0!uOOWmmkYFTr{XH{}iXKXHLU^N7M7n>`Q*onHDH zt`Ns@@gI6Mo~cVupE0OYeJN``UuGsT$43is5cax=i@i*tgcq|>fCd!W2P{vho& zoh|+s)BtG#<F)y-1o+pqGg5L_rSwv zOK@cHMxTa8hrs+3h-rr8P|ab3z2ce*hf+W>^V%jK^SU4VlYWSmg22oKDI3k1wAxHR z-rxL<#|t7K&v~t{*v;=^I(ke0<6kCg+0)YSHXG71CHIQfcHriWCdcYeS~<8JSOV|R z8Uw4z#^{+Lz;kE)Z?&_Z6+WD~?LxG@`%Ah)yU6m;1&`+#^^K8poadHck>cgMlze9s zoXvvwLbGg)qfokt#vt>!$%7T*p7SjIVi3&D9{#A#y`4AS@PmlfkJhkYDdds2BGQ4| zm4`8FkhJ|Ih#jE)&HanJfx9y;&GE*P^mgpXRW6fhw$zXBTbJ^XZN+mPXOpoF(Sf|M zQ@OC;7y6T#YDXz!G?`dw50R7ofBmuPZq7X=e$9Z5jhNRTs<*d6j>pdfNVvU=-f#`J zpuZrp&fOr%S|4aG$%_l|o!S+?xZC7J2s?a7{dOW+c}3zr>d{EQnB9U$5E`uMn)if1 zUM=x!4GUeBq=M#Lv1PmtbCoz3+TQ@bCB~t{q?uFX)ssmEDksioNmGRUz6Lpfa$sr1 zOAW!8tcPE^*?pRWCv0(1)cd)k&SSOXb{q^Qj^fj%5i~xk<_^Bu^30H@W25=|kfyd# zXfx^;mifFveAwy*(r$CY{$V@?cJfl&(gE>!zG-vlzAr8nHznaNsl_nws#^c;hzG& zE;4sq(2&MtU7}O(1`}4jFS%&fKYg3RpKeD|xvX7VyJ~vd{e(!Xy|aEnhIKJ7ziLj$prYX^sAn?AswhkOCD6+@7kHkNF)L8)yIzdTx1up)^#3rUwz&z~tz8*|JXu#{XS8%F%5i}uS1-r#qd z9tGJhT#@Ec>TLHy$vQd#1fz`Wlu9bPnhB#PWAt}dgp-rHEjpnpBu@Ctk>!rz|IkDj zyYIv{Qjj+BaQL|So0-!oAT7*pZTgMdRufu%eIF1CAk;2RSK zQ08wU8LVFV7R=?nho1!EONeo(2Q2407mk=OmR*zM*f0J~|E5YVYG>34|Sc&6u^nxKwf zohaS+;V}+h{{=i1BJQ@b_QA0-47{85OdAd!w(5D?(CA?WOxhcAE!esT6{m>KF&3z$ zXGKuU+Iu|l*ZkFMX4Vts%N$d=R#X*G_kpfX_=KDnJ^2hm(UHNfWLNfDP5tdpujl4+ z*cnF;MY}_RvlIgpmmrAi z9+7Ch6YQHNcgdTFxRcJ|cfVnggfqIx1K^(Z$egaff33sp8vh`z{xew8MN`YjnuWYP z*YvO(FtM4C&L+&;emiQXIigF6^_+kkZw}pQ8_$XNt8XKQcCgEUB&#duR<2S#Y2&~k zL%gz@##o0cBGMttqSOaoHmXD-~2kDv+{i-Oq~%$8HfU1vIve#Q@EY%(T@7#qRWydJUp zDIVzk+5EMB{bUZ&ours-!=qw zMA?ON@OTAay*a;6l#8$5!yc!%7DSly6Wca_j1ma61iloY-?&`sh#} z*9NiZ>fr|2JV|s>v*IC0`9ztsQ){vwQmlXL#NXLmm;E^9u}gw9Vpkb_l+`dPf0cIY zNJ~hO=y7$?EiZy1o+`s@^m;#>pfBJm&i?N8Jm(KE`r%Z2KH<1?GVyu*FUIhKnmVpg zLZ{CJwnxQcIQ*j(X!CPq-p$<|Ab2feF5cPXWtz;NwuivRDZJ)CrgyLKf7zhkBhK9>F>h4NVv}}*DCw2lRHvP z?=YjX`&MA+*{X4f{Sw5g!&+ms);Lu(V0oa_@J(5=cf{4O!4l|sHO7sKL;eX)c(qRI z*Xha5j}pH5ktyQcYPWqV|1@UMX~WDjp95fJ{KHKN7;RzG#sQq)NP`Q^+Vbe_F3WaJmF^11phN6mdUYJG!IlQDQo zw$%8Ug(Wfxm%}PVC`~zis3FABBuO>4`_3!O0Hl(nR)U*5>T^XZDp&ujZ@O1neJdBW zHk_7!I^?R=G3b_1**yj={~mO-%&H1adrL+=0<{Pc0{y2DeSg{kpUeiM?Q_u;^7KJD zRhj|89bsICBYj(Uh;+ZAs@)T8ya-d4>i;#b0^iYF4M@n5(T(QBF^D}$ySVp5mp$mg zIN1-2Oxf6Xjh*Cw8xL9#-gf+cJLx*53axlf06&zau%3lds%0*S*%4PgjYneh4n@P- z8#1}Kx4)tCEL?y4L^a`2Oh-ly(5C_+GNt>i40+UMPA2F0{xjI46as<7NS*o2=)Dzp zmQEP~{F({f$3zg`syy+hwVl8n2bZ4TWZu0L=WVm>0sSd5^8 zO|u8>=teuFj98o^HegE#4cUoF(HtY=sn3d5TORxVluKpFnz%;#>Qm@}r)Dvqr28J+ z)^_Rh>x+2SHOiaf8Ca*`hfk|CA3hxl1YW-}X}$cC_vLXk{;EGDkEFP!e|t(&jBXg( zyz`rCH;EcKt(#fNbka_J2{I0f?E3EXyOblQX#pgH>44FT4LOeOzZw3Y##HGg#j(*wnkL z2P0`t8pjp55o6$TAi2 zpPyE-k0%Apa}5i)C5VM%P*wP@O2uNedwE(wHF8vXz!KG*+iUEXCNN3BI~F+>!srE8 z@;Q{1K2RBhLUszQu3=mCOg^39XO8~}gs&wMvKMGP-Li5=XyGi1?5`sKjX9cp%tlSQ zjd_%Fx$Or~yv;|;yZo2HiD4woqLLux`DH%}vF=-pjt%+qkWFGW8$=bxtUEBtKqE*t&Bc)ZvAa=Cz@%|29s zRDlSx)}t>X6}H2Bx$02jm`=#r73Eb4KHo96R(Uqjj(%wI5PIIlp+aH{LNOLs)KnhA z?#%{VMYBYx1osqTLFa3(mJTc>nrM3k6y9H>!Z2gxACUo8k;ym>^pf01bv%E#Rz#P~ z1U9;bw$8rLjnP)ca&C*%et>8X>aW|_!B1-*dV@*snN_Be6lL)WTK5IDwwlGD+O5r!Sh-+L`AC4;E-#UROuaal| zmj~TQq;<4WaClRDIYRN@&G5dzVTA!!IZ%`!Y*!U47_+JKb+e0xKTaJ7ht4!!8D}d! zimKHVfB$5cHB%*7-O1h5E&vu?qe-E>$@m7LYREmoOr!1fPMJSxt+<)U4&`qeC;aaA zt+~F&S?|YO3Jxqr`zO`K9s2#%J#2i)NUxbW%mr>-&VubeaCq5=_JYC>C>|1q7x<+9 znM&>>p=yFvDfN{lPq2yx-l5ok>_wcsPV&qF*okhyxNkIc90q(eRqh)x?MIlps#J?5 z_qiMy`%1Cp8c5(7+ETgur|m!dN{8Akne@t7qsYRlZqfi0SHjIM)KWHj^t=B0F-J#!YTeiiO&1^5p+GPv+fjXw~@ z8}RtDt$NLlxY@jC#?>gt{HEn%bpxv)ctqapDfM&3;sJ10yd{qBW> zZ_Wnrts}4R-!?kW_UhK_^fOqJbM0fTS1hQdG7L&D0{%7g^JS8ZofNwHm?Qr(wzf^> zlv$c@9RP3ri!$Mcyjn*)!c4y#k;6ASt^&9FM3ki7g6D6ie-*4Rh%^$)%V;(*)?kSa z=M*bd^foI7+nYO>ju(VGbjRMD8-~z9IdoiiFepYNPSpvi?{`E6m;LL%Hx~UZKDxGc zO(-mfsd_9pI^qUqnh#S&nxkC8-u&m`K09rejq@vdR=;rFo|41VARr^@mZm;RbC509&Sh*_zqZY1 z8ivBO8bUrO`Ou3bw~Y#xBS^V@$Gz8sOsX|8M?R(De{#o`wO^PCcH>;NP%xDJkXCRM znfjr;epb8(n!aOMQ_yf@+5G;7@`yC;(6f)|9k#~+&*p_WnBSPYTxCBFg{8S7%@|@f zi1EMdNtkkV6$08|<@-nK4rVJ)l3HkI8+@o#ur0kS<9p?Y2ePjh;F^xxR|9+*%NV`Y zBnIj+LAF7zBn&FtKWHQxseyyHUEd{MyD^Bo@?j2=8mJ^TnxmEy3OUw~&{iY^_QP4L zG1hfx=^VqqIBYws261w|1Fg}{(XEpZBDj_8_D~(qT#(Twfq5SYh_I$ zo-VN8;m}o+Utl8QvD)nh_W46`*T5$Rq6bCo_riDogo|nOCfPn@koANs>b8FQ8fdpsN8d~eZM13%rPhUu?$w({W9a#`?Tqj z)MmBs`%g7z`G>el-#_>H??qWJmw&h(DI@97_}70YHX@bTXA3QEU?8ko*qc|@(ne`W zw>ZV7P@kxjW8^Z16B&I3I!Z(oj|GMin>+BYF|PuS?%we9GtF4OvLPtGqM$!*yw?hS zi?aX7XvWL6mL*e#{VlDc9L(72FW&(6NHGCUsc6X0E;~4p!EIqGmuI z>vYZeODw=kZ=7s=&m&OLV5KmrP%r>pYl<3AZo{XH{_;ql!V)L3nSF+aSDc#F!=%Js zp9VlnZiyPTdK{PD8gnG!GgssQV%4HCwwH0R_XOq+`1dr(bfOj4!E7w93nZqi z4!_-Dv;`Nwz9d+DPNED05&{S3gLe&fe%PH-o=STYZ76OLcl}ouNv{nfRlN74O8zOT zo@#=MALsda060EWBB+@5=r*B$H=x5o9>oOVG>HVAf--EX&H?1@q>TX#Y8TEJ_V9Eq zgo6}vhhz7a`T93OS>UQY%oi7xOv!Au;|Pj2Y6(Rp)PldUkMYC*rRir@i}?f zFa(I6WRtZ8}SY?%CRyw6Zw!c zh&)^qHde|lqzz=&>Zwd)fCGF!Is8}Jc~j$hleMK^vG^a>rWV)C)ZYA3@>ELAhgt)1 z3rF_$Fc+Y6qaaR#+YNX2;|3y6o0F~wnN(Yc_Du2zpI?0L+ZAwm5Us;J^*j3`U_v@F z*goLXMq--^5^{46yQkUNsJjx!L_`{f4+S4NztpSJS;XVm(@OYZ97f)Zcw&lrXvD1a zH_BS_bNt7G<*Od+Jla%_H#oYbwAD9C!?^R`h}PxaN#O4mMQd9KrXP%=p9Gq49s0Mq zXLEFPHKd46DYC!D3o3o1>GUqs?PeI-b@kfQs_{P6_*e~}^T#2;2R^P&PDz9(_b0651^=rAF9!k{=cj*l zVT!gyL`R2Fm3FEyFw(-m{mrSH&y#(SZ*qu$rUHI;yyY(C;Y{ zeSButDNel&;oLvw(bARkf_8Aw=0NQ3Lt2f2SBUBjV>fd(qiJ^e0!2*Zui>8tG3z89 zllqe;=yxh*-P7m%-7~N(b4xw^itgr1$CJie%7K(?OL(Z&w80bBs{%k$F-ZHxW0Xcs zi^#PQ%r6J*?KXP|Xt}OkO!+84NKFds6=?)23@=~%lql^qje`Fh<4&Rlwyn~5;N^g| z?*+aG?x&6ApoRifdXE7o8=aL-Twt|;-WN9920tx+9maoK08D-3N{yIMW2C;}(PoGX zV5O$^lL{dDcLxh78F;495Ql(mzR?h9s0M$I`h?J`J7^r+(;{4F^rK!mY?R&rzuzHD zsR}KH^PeTY(Wi>1O+MiW?1h_S5(sOQxWHe;qbEExsUKOmKO%~M>A|A411$*j`Tc2+%)B1epCuVsEqC3u1J)J43e zs51MPF7e;4gzvNYt}a4?%q|dOZ4a>IyL9L5Lm{kDZS)U9ks#DDYO1D(6MT2h#%M=o zFvI@_^g>};G~$F&fHl#Ah{aBLeUf_ezQ4BnZT+r^OKDj*-sb3_FSMiBvLB)4&ZmP# zBi;c1$Dk7{3;USB{!I0dGGRQlykV;viWElfJQ%|*v2QB6o_>u|kF38;*BR!mzPvxM zMn6yk0qFTGjLEvYmo-uW`c2vmZq>&$0bz?w^EX{QSt*8|&5iC~8 z%M}I>s?~Uz={qJI-nK{07tLXF&bWmLanrx$#Wl|}wr^G71xSgn$`!izU2-4FFz$a+ zjBP$Y-Z#j6>VrbWytzel2pgQ1rd6JjgoEL*y_vi(ArCpC3v5LJ-gBR|SJ%p@JF;wh z^aui#+bORRQJY@#EI{MEt(fm)+ahE__}(Evf{N9MLjOdREFcph?M%;D!RRNuuZL$U z+@&mHY&xCE2rsm9a0-zTIQmh2Q;sTBFTH*s{rVe?v=pa7d>l@H0yq%;EhTajq|sJc z?O+tj#YPfaTm2}@I(PQ9zqsp^HTx7S=<$YcjT~c%2fko-wL#729~elNvW9N#KLT@6 zdK>n+duNRm11d?|s9`EYF6^CZzoIN=ZtX}R_t;GGUWX?#5+aM7cBK!Gcjg|-E#z7z zQj;3w^yx=ogAQLKn0%EQf0+L^@7a~yHZ__#VwRwSJojQ`l@WM4PS?JLn|nI7(5?0K zc`gT&UEA~6jNKm!Wa&McO<}H8c_BaT%V1={%1A$qZ6uJF4R|J+zn>u;^*8O6$yf>f zqjd1!AXeP@Nq2Hqixz@uf(jqfT^)pB<%#cPF=X6MmpYMF6`p>}uQ;MNB=V_!cFILO z%@vNZ%S>h$1ilxT6{E^L z{7V5eT&>@SI+Qk-4~-OQ!myJ^2%ld!ipP~%^)^%)$g^HI`mUxHRMCyMskwg` z0asLCzaRWMvmvf78vM3@-oDyEurS&j=DNCpJRv2wv9b3>+P*4pE%~Mu0JseZGE?1C6Uq>*}~>S>O!=q&w>zprrBD;MN;NF%KLX!CRM)5J}`oV0Um;|&d70BH`IV>v15gGiSmM&Nhivg!p08t&E7 z0jL!26n>`(pB2YSg!W_B-KXG7mAPVa;6+Vw49XsJ`$+8~Sion6C+tl8# zI2;GCz3a^`t_Ev8v&+AI(cS;#Q0G3&HewV47@LgT;5?VQfzAI16^%t=4stzv8DZY= zWY_a(qZ5rLIsu_{h9D|7h$<9VM=nc6Thli_5Wi|ih;C$8~N zSL`3Z`fZCWyrbRJ41^QYhD_K~6I6a*GRfiQB~tK&=>_K73EO9bO(%{;<@fUG6_7$H z|MEE&T$DSP98HY66H}xIRsOCOt_UwGeNT~Wa=F>4oQQhmB=qDaTpG4nN(K*hVEJIo z>o!IES?JlX9t;3nVcctYlg%0-y9cqFtlvfLL~dMO&rx*YrlDBXIK?eayO!~-8di3c zS^c9U3UiswQqH_09&JXmOG1~m%A)^V<;ehODioowe>YA9wxhkn{|W|Y9Wdry0)Lb{ zjEKRmg-Cfk>%;h4v43rQhZvZ93G1-2&y=Lgg{Y$KMeD;-PKu`&W8l&Ec|a!Txms=$ z5@lzv1QrYt)h#=N7)?uPmfjj2o$Kh_1!Sxaz1Vcjv>m|81{nC7560T5w@O#4aY0)w z<0TTwwQ%k1?9fDVtO&JbwcQqTtz4gOQBu9=?vp(znB|Ys=Zx~H`XnOx9-@AW*%TYs zCqXDZU7QKV$GH>NoQ5@BwSP#(~#Mml64+S4uIt>{3%TQ;>*c; zofdfpi9*;r_K}yuW3G53+8Do{l$UXdTMd5Yeb;u6-ap(p*1#8CNPc?}@Sg2+qcWV9 zaUq!|ByHY?PyoU#Mf*b9<k1)CQ-AsR4uph`pg^4^*>zdKsIV&zv2;I^6NTGEq# z7+x^K-8PNOiz@aCg@p;9wjV5!52D=1(epFG4}}w+s`E-+z|nX{X4f7w?g2bAbTMkI z@TUbT#*C91+qO)Z3YotSTau{MS!Zm@DB&=7E0cmaEWuc@8^ZP*U=FGh1xs?K{?dxL-9Sb# zkKsDK9<@Nk>xnb<7F^>bg6`OXzWwFgocwz zwyx**J$4%IEbD$Ydh+pEd?(#CizYZ$F+ej@Z9nC}F+s{iX?14508g4XdGJlbI3?;| zvr>qds)jyG@oUOoo^paR*!0HG0AvwjCyqAEhn%e;i+&|EF^z^h#1PFhA~7MW%ok&} z)mS*qLc3<&NLnHdZ?w)}@@qNbA43 z#cFV3tYKch2)?47QfNnCCjTAQ8ZHx)_yQPb#8h}{rg7z_8RP!Jn=!NhY(>L!XU_%odGu~n_qH>sk2=h`KklLfZj9GB%MQk&{31PvqZaOo#iF~O zNS*R)1Aj)A#yFuDXUPfh+JmWI|77LpG?w{9WfFUW*m9}oYer5k-6YvvZ9_0~zX26!EU=OsSFO3FU>8TaSHu!RF@@&O` z&@}>{{EPz_k;N%w^RGTa*zd^^=;g*f--N87Lkk#$j;05s%f>TgRF;}1cml?oj=D7P=>O)O21^C=D6 zQTpR|Bj^GQ`n3NAARnP?@MS0B8}l+o!Taa+Zfdh?;C{_UF5u8LO+)_PX!Mo$&6Ig@ z{zH0SOvEuMm$GH+47%700fmFg1skcpcGY8%e86N`Zg|Lv-!n47CD|iqgN4oG^oTP(a1^+s%3Cvvb;x&^6nS+v}DuaDMRFsa8(DRKcsz{cyAvDoP^dnAEt6 z;cEt=`peR1UusaaNP^s@V{aMTYZjQCA~94>#SM}!aHZTmk8f}OWjWS+B5(Umga@dD zh2`WZu9Yax_T90#))S+ThN?Lo!bnIt(6RP$*fQzMcT2Pd->Lt{skv%LAGIU1fD6s= zk}eaAn+A+w1A1nA@S)f+q#Dd|pK&WENd1Fl!fvf);M)bnYSdE4`g0ODgn^@{s{5FL zeV%AfdlV7f;4eV!+jp&KR4F28BoV^&4*TDyS?*kO;}ABF6K2gnALxY%nN~j(;Hy2* zb2?!e3o|hL3A^-`xAs<)6Fi{;O;nEqpr{|lDm$!7SB11=vlaxrqS|YIfz=_dtC{AS zM-&-gF#e0*pp?SHi7VqfHZnky-^344NlolQUz3M7^`-_hu|Xa z>>0SdwU4>J&m+W%GKcScSD$dG@C}wfxS#TkBR9bADb?$j?PSi*ufS(xEOyym2d1Z! zLhDz}pD1X6=risSQ>23AmD5t@(%p=Qou}uP`T%ern`Od6W3E=m8Tw_za#VSUu6=Mv*p$aKk89E> zZHUNYXg-xA8Z~Q5JmZxb#8c_B3!`v}rI14(T1lDB@M{xck8*leD!f3oR|H`Y&OTER zM!J+`vmn{AF6KW>50nom*Zu57$Dzo22Pgs@{qrw%s_Yv%ee-#RTQ*z;$PuGc_xBQb z*|658;e&rY(4AKn^UP|4T4~56R9D+&mbhv`n^Pb1=KvgM|FmRJJ)=J}Vz=~s#PCl4 zSM$Rjce;WKtiU56N8s(q7q6I8Rr@Fw$s%#)P@_bgpih9e7W-* z66iU5OMR71;naX&rQtcLYBtDee1TTF%zwP<8%QyV{nZE$7NP(*U;i!DN5LVgs007h zRwBGP8U`T?7Xoj`o4=+g%Tk8nO201^Ys9U3A0vVawZ@ZzCWeIT9u+SHDOW%6p=&AK z-@YA-k9ZwJgk|I*1cLWvq5vTqJ(&?Q3oMt7t**%bkdI5w= zv*{Mf=+GWpq`x^|PJF}$9GEm$mYgPkfg}|92>?JV=xLCZZOU&j4NfRs@hiD)*39z* zkU@_;*XD12Cj6#|7TF|0p!`QO&Le9LulST$4T9e@vE$P>5BwE52TPy5#d0*15KU32 zqgtW1r+jaS13Bv>ECMtfo4K7SS)&IN_$CCQB#H*N0qA5Pg zN%i<(?IH}mw8liQPe0>@G00#Pb*y&FW|#RyeaUc6@r+8@PM&N`2D2x}dTgSL`I%jZ zPF3i^MHRn3t$OT|a;9%%j4>k%4oxjp>-n3ZmZ(_lFZTr5l^$C_8s^0l&e+atCJ`dA zUjzE${UDNV@8JE4(PpS-SN(+5_$arF|0dHR>Om>Pp`fSh&t0BjzM95xuJ}vw6CB`5 z=|FD(&y@V3W4ktkOj))PZdE&3|1_<4^%`ux^=rPl=4|R3S#dv~0;?S*8YZOWhH3;B z;}}E51aK?c6{7!);ZO#AwGMDg8YF@j*A}N)m5(hSi3uDfEHd8CPqCDdl+L~zD^3!f zs^LnD!D_eX{Ytc_uLAyQ$Klb(pTd;5mLDHk4NB6qz|Rc8%y>QMW%o)BedHCN_;y8) z8hRlga87mll6&VHJhpO)%?cU3iN4Mecb{13|v-IRpc=hl!y z8LxXcF_}t-i)EjIBK@OHWKwP6FZ)K!RRjJZh!9^QJpuYqjWuUuL9-1i%aQjM4eRO{*(CFy4qv*B0HN}86`LzJ% zKKf|5S2?*a-)X8J_;>sn;FbJz1{(0bk!(yG*7NlSu7gIb$a5720nnMY6K$cpR$OSf z)(86l-+B7+L8xZX(cQ|x7W~a%%Q1(g1;|GO2JbqXI`14ofX_UQ<uV_pcU1`j*WQ3+j=b2Tx3YjiCBRhUaM_x8QvZSJoAK0C^=0=H_pA z6wM7lfFSBO4Po>3W@jE{)smU@R`ovG5JJ}@4hS2-bnpI? z2|@KkW0Pc^?=u!`Q#H=q&?=+ykhlFRdFBqbrar#^5qW;m5qMt_?S@GDO->9Ku3`>m ziP$K4c&^IXQJxDn%fIaPE7BHN`$}D=)@+Wet>J3@ZUf^)5EsY8Q|JJYqMF%C%~i*F zu66mO+TDDcicj;iwgWT}89!^Bf6hZ$H23ev_4&dIfF@)(=Ud{$M7qb9&sYyFiUJWv zCf}cnh^yQ1rUa~JfF0g+^N*{IB4K-pzr&jqQXWK;YrecMHW@a5{UY2B#{;*KMyI@U z0rYuW@us}%RPbW7(8r#N!`w#FCP(4lQ$2G_>6RSbfHvny2X=zPiO&cRuJx0wAu>U% zD}?%F^7~YZD7>>N>M>>GFJYQGp}tqiOxxLK6rLop5x@UD&M7A&MBSW8e=ZV7AP|Ir zG!J4T-9cO{HiJVF(w_$(Z^DEQtefd(HQO`H6{@7^XM_SAbFKCOMOegslotPh1pTtU zDhho$;u+4OFFqAG3Dp-29X1W_bJ2|JQXyggwZ+(6Y@^k#{iTwuPp1c_JPB2Gc0K&b zb>xGh!F{O+n}odttfi@cV}Mb{x`)yTKbbMs=^>@#4>E9967IUkU%|q^{E{7s+9QZ9 z;IfM(LuDfa@iBle6_?Hf)^~FFE1Q|yJF@Ie5!adEO7iW7zXCTIW|{#VX2)(UgO z$V~Pn*6)sPf_%*W26{ZopCZyt6r#!AQ48>dUCP}_X{k>mYje>Jz5hjXDa_Ql64Gf9 zh6bs%GF9c<>moT{G9j3^)LUq`lpHf~ebw>r%GVM|43Hk@=$fHBYgkUAvm<8cR~W4G zSECCm>Axy^qICKq%uZ)MqItr9HQ`!R-oKf4-ry{MR)Zuns;Y_oWElFqT$hT^HO9>G z3$5Fe+(qLKJY_hjHHu5Y8`U4arCc4b?@yQPW!$*ov0Ty14Wa1@z~I3Ju3-tOg7x*N z73bVv_b3l@M*dH+&y+EHPJJ}X9#7j~<*QP;h0(7TKJGUgsmC0}N-K||2Mym`7?9gZ zGR_&gi=E%qj0@5F zUP1CNaA_F1b-H!Q{~c$zQt8s;1A)Y}Xfx^xvtc~BN`+HR6=HSl&zXCcxcLF$k!piLQ`}eV*iE|Hu`wU!A_=3Amg*~4M; z@`)EeB1QnB?KVr50FbDWO1V}CE3U}?ilP1PYgjpXTwTf2A zy=<*&zBT=N?stF>vpq0TDIW0A0ta%QcXB!W#UzPvb)^~pBlOkVCzU6u?C{_+cbI`4 zjQ*AmvSbswo^l_gM+Q64ATr}{UAtQt@W5r<_R}lWeK%M@4B&9+lUEAELlgY$&3&3V z?`*$@S_Q>i?V z3i8IGRXwL*7Eqm#Mniyy5C-XeU4AJIUZOn789ziQ1uDZoW!*vk7D;&WtKaW3_o0GmJ0z zD`4n|#}l`-+F@>`EL+rBi8V%rZI*UROO@ZV>2{tRd*7|i)ueySwFSNXmV9ef0=s|79=0*x~$ z9UD55q4DIpnkTC`+>q3=fJ`=7UBo;^33%_hcBjwc>ckPo6{Fd3h{9=t?fqrhb;@;i zzTY9ZjeA#=2cIH_MhDP6fi6|}>xr`RpD2)B$c(IcskHm+U?o6%v&a~B62tVOO-tb; zQwgM2(BPzwnb)gYq!V0^!cU_f#ROd%WNHIAh&FBJFJuovMHo)xpO0`H0%uPeb2wI8af(l=UGhGScU0#0&^3R7#`2-7r&tyU%@9sx;WkExsC*PQ$Xj2Sb)HJ0 zqKh%bX|?CL*)-npe-J<$ba-CDTI;A^AED#YSN9)aw;0Cpwk{qc^T=T^{7Awcgp(F_aT7relmi{M@pMCK1#yf|SmxhD9RKboZ2}hT)XzD31kJ@4}hnro= zD}xvsn;@|*3FUe<_mHTG+IMvZrsJ7u1LE}d}Jo`XQcEt=Af%jW3nj5`(o~Z3?<(bD-1&-3%hPlb?Mn&VTUS6XGpn8x$x{i z*_1oIV+{hhX^3isqfveMvEoJ$`_xES zL9jNad_RcZmR6M!BSY%+=r_IJVUvD67VVllh_sySL4qPIUkaeCx;5AjkCv#K?uC~PuR$m=PONpt&=dYanF zs@%zx=y_ldi&MtKs2E71zdO;N4aZBue)F4t1+UmpYzM2{vC+ztp=;cSr#`IpFIK8`} zz7&`O{!CvS7rBfZ^6&3Rv9a7YltV;?4}WN)qA1wv#j?b7+tHxqD=pm0xpp)uX-a`% zSFK8r#z>14S@g6>IrR{|Mi_xH92V zAnwXz@uy+Cd7`V&+Nz_(`7bfjMh}{f^UU!$X%#neILN^w{Co1_QfpuBpVy~bpT=Q| zW9TGV>g3BRvRs!QCWC{I^&2;8PQDG!Ip636kzQcy+AmJe8zi|>`}73eHJAL!bTgox z9Uru*pkH?$Ffl;&4?7@q_1-5Cg7J5th1Z>}R8#zSRr_Pq_$zXfhG>7IL~LqIoRy_d zW(&j*e9_QFN3TjhKLwcb*cmf=7~1HV*aL6tpG%^{RA7!`*+lXo|Cu=ly89R^YYH*2 zTTq7~dT%##qZ0nyco)(C=>LKG53CAgfB)eVEj`KCU1>Vi42mCk9lDg)jzlb~b-p&e zpzTrek0XF7*tUz2-?w3SM-rg=7LPT#^+FOUV^UAxf&@)H;4P6zyqY7eQ`1`;Y4+BZ zr}7$Ckb}+^M&wS}{qd=fzudp@B?zYV+ChSTCOg8nw- z-)VG#j49U{H37be!Vc^AhT~P)Yd{+d0e(dqst+plNn|E7F%Qp;me1qW`*2oeutG$~ zM{)tbRStFEeZpBo`=Edjm8a?YW?8+N$u2x*z?iI>7;*QDZ3=<;UY*yUb<3?rr8asc zthm3PbrZYCnBgb~n)C>>_kqZ(Sd(s&|J1cuz`GA3)eJ%cL;JDBDH*j}_qf(bcImpZ zmVOYFM0aVt3>7Alkq^zNv5wW@NTT?hZ12Q=K~GOu+ojah4GXpNmAI3)qE^~_h& zw|Mkr(nMq1vZi|=1vzb8yWT+hMt5^?MZ+Gy-3?e0qi8r^OX2;Nws*nzV%8hipi@`q zlED}wM1jsPKJYu_e&UYb|BWY^Q{>N}DOo zi-Vo+iHa66flqx=t9{UBb%1d_Dlk1y8ez%yXcUah4+395;rQP3_M8Fp2X*7ZCc77V zT|w4Pfhc8f8CBrm--G3qq-$X#khemV?cyEb1pG3-R&a(lP+WP?7c2_7&D zo7r<`G%#^Wgcley3%)UDpUEV{R|&rhdI+P^JZq2zkji9q-f}0zYMuWveV4fmH7Mwd z^(}2FdJBF(&@c)k-cg=khC)=&0!MXHMxuTTiiHG5_{LmMh(tbYQ z(_UrbgG8fR>G*n}7KkYjKHUyd?ANqhDqszgavxV-*y;|o~yFTB<;37qR9_ZWT8 z2}`HT;F5JTXVeo7@Ar2FbJ515(A|i?cXYGR zKoGZAmKR%au#b#DM`n+0#D9`9(<#_*kS~H1W?y5H9JSDhc;=FMQskcK_x=2$(8YQ1 z`|Wc(^yFPaTIEYP=YihcYLfP=oJ`V|5ilj`5FU5u+HxUz>#A67*~f?9eu z)7PbXq;3>WO=&ZC_Q`yO-X^r|4c0j9A*n#UfF$fc!a}T3Dax)Ml7lse?4MX+DxXjjZi?W(0a9w2fUA)OmmU-X9Bgyf$pSq@TpPgdzFuaH+kccI(AyCk(_&wA0y~oUwM2%hn4yo7T$d)B-)K162LtK#T9_mD8 z`m`Jkm;_6>!oRl)$Hpn`a(#a0*DhKE?Aaih1*tMS>9fdtuyR8*P+CdP@l z*3N_Ueq9z%&QX-A8O7E`rCUP)!=I@z>p$YY{~_+Ig5rvzE{z0tcXxM}#@!u)1(zg9 z2o8;F@WuiJcL*UsAXwv?;2t~>96|#D8oGP>m#Lb0o0^)cc|CRSeLDNrIeV}5t#1;C zx{voD$46bU3qXYp4CGdXP=D)DiO2x{zGn!6QBD=dGp>y zFV1YnU_-UbR&1{{OiF&axS0>o1mBUtn(CK+G|Gg z*L$R2Ig5YUqxgR?N_e(NHHNvexlxLaJQ-n3T~)uqe=&K2Bjx1AqS{MjUiO^k6{eM0 z{K@yfAHjV4)X>jgw@EWtIrF?!cvSc7%6#}yZQ@~BNlFAhHQ%adjFAn%quGzBLpzk( zY#U^zA^V2OQJ`Q5aQ=ok>j82(+%gv>=nf08%uJ(Os=;W+rKo1xlO|pTDO~x54F36F-Ta{0l!NB~j*Z zK1wx^F5?@`+kZ6fE(42jhlQVdNH3I`{oBjE`JA#J1km}itq70FteMY$(qY2yzGiW- z++lvdyYW0-Ca#Ed4%{y(QBSLT=M8o6WXPT`{64^YA3h7yFy6 zFKyCzTCNA*%z+PhT5Na<6MXf{x5oUqcvTe3D+-=s;qmV!(~@Q5&rNB|@jbhDAmE1{YZTnIe+-g?BA&kD%$Q8JR>_?2x0GaG(nyFlwg z>Y%{ih4&(dccxdpx~&UXL=?TrZH@J}h)txQ+a$iW)rF;|p)r`shs@|wc>`4@{VMYa zIvOv;x$i@u^S4}e+@bIBjE1vS`j~BGx#u)xN2MBo)n3G|!rx1vLmj-$uELhelBCut z$Vp?^{{gjoUPNX6^h$jH_z6kJ@IA-9yyb~8+?caUID2o7c)^In$~beRYX;SuDuFa&vc>HTcvuMaT@6#G=D=@Kg zxqSIwMP@(8acysZKFO59k&);y=dU2ut^@39m3z;r#-gI7U(}%7Y)CB9xASL;QM_zi zw(MPF`!pXZ5jXQIwaPl_NRw&?mG0O5*r`gc`c*Az4{9ec$?%!om6PTb3nJ!8Hkpw65cPG5&|gSu zDh+1yu;a~m#Kbg(-`GpDEYMZ^nF?v9e1~V-RV&O*X z-zQ-%WZ0yN_K^=)Ez5~w)x>KAX zmEg{Rp9mKQ*UT2N_uZ3-0J}$?%&tGHztPEAi@$MOE&Jh72-VYXHtfE6yy@?V%x3K@ zf9ByoakvyG(|_qudBoUA_$2?Bq!eBv9JWNc_HfdS4@NfTnWWrN#ZY2Fn{~ zaBrh!Hb-zC2x&!6@u<+UF1QC>+e9#DJ}o*dV|$!EC~@UA02?PPpQ(UP7d19!Pb=F9 zQ@A6`onw^+GhH;{^dV;YuMau(1aUA#74GSJww8pinl4_~j zD~g1x=|flIREJ0LauOu8*AAXCm@Q#i?8BBx8mi|g{z^vXMXy1Sp!b}rYi zt+%C$?Z1E<7yz+(_^jr*iK)G_X$O%np{t_$!`;ZmreExV@LVupce`5I+C^a#RranY z@FM%vGw5nib=Y}(v(yw&o+T`ibo4pGQhs9o-e^lcSSv)_Lsi9#pqk=#Qmzl~;lb9n zPH1hloI%_w13aeo_Ijn-AbE6ed3oLkSOLFWP3EdX_EgCbFCXt*&pV74I;k zfW}~?sWreaz^wQKTSQDju&~L(fU1zRgfZ9VSL_GxFtsztYLa7Lqp{dALi6Dq_&R(4 zCd*!50qU_MbAqH=Q=4xMEvuBF-Ulvegfw>mv2O0ZzytkgcLVjry?v%!mL^STV7gfS z0*OANH>sc>XB5>T!q0NOBbywEu$p+0ly7(|I%Y6=>E3)gk_Q4B;MSi>-L>MA*Q z$XBL-PS#8%(qO zF%bIu&Eu?hTSMK{glSkHEb)NnXL-}hh`$Ql>Qx>7J45mCWT{uYuPY7&PJ;;`;0Y0; zeK%79BneK<`*!1Lw!-4j!7w4R0{jOU2#1xc5l<0W($;(^lXxt3uCiJ40#=D9nG-$# z&<%VE_x`Z<4;pX!ue9^IM3QFco!|DKbxBZRg?>sEGQP#6_AAnLV73L^1~*5VES@6B zXu^+Mp2uYxs6o(OO!vH?LqNC4ag}YIX5673vz-Ceo$Yu6_p|#I+~btWKwNSH`aHdf z&Itqm{Y6hzK_qonYYTs*_EeMB_*(0b{xfG&QDqXn>e&!7oQfCI4pk=C@h-N5>xUg5 z0YSidkpn}xLO{|iEVWlAqXj260Os49{CX`}03UEz`o$KAbckTSUi?Qy?p1{*VEh(M zzTiUo5NiQL>2dWbF_iy^=j#h!4=fwSFM}{8s48?oo=i3k)A&CJfs0Fo3Y9NmNT--b z5bPOZTi+!qk3bLnGnwj<`}fN<9|52w{S?7mvckh33s}PDxY)r0HoC2SxVu{G7`|GI zy=NqSsm+zt#?4`Z+F5^s*EE_hR|1WdP4pKV7i{@kI!miV&wyVo@KJ}h{h@-0Ajv24 z_c(SMz4X_%!bmd8!6fgb4|5S!MEG&FI24BfPFSb>O}j~>$=-CA7txOCcb_2JkotFf z5Rt4DV6HB`oBOYo|ufu8&30D)GMqH}3F zRl3+$L->vP*IJE3^i`9GrBzlb16IxZqtF{N8vob(Y!4MPEW;(pmS}mHzq+XrM}a=| z9FXM5LT4tL-<|AbmTHl`&8^@e`gcG{(Pa3u&c$cGpvIMN6$oPui^IIzW5^8RoUf%L z5|R-4N6(LGfF&O;m+=*RUL+>O?5E8ow5i7S%HQ4@%Ot(AR-DwzQfBQt7thEyWpJJJ zQCa{fQu45jO`j%fEF`Gd&-03uMI!r-c z#U(%O)3HP8N!qamY0Cp3q`vpdiA_KOezoKybEC)7fUxv)y{W&#+>Ng%0snB&`nZgY zc`yAjBHtVWKCs_c_lt zyrZgH(_!q~Y#?%5QW<`eeLWukVEL?tb*Mn2kdc36$E<12GE&_W`J8g$LNeMf`)hDIWTy$G6(z%nQw+kn-Ir%}}= z^t|fhuRLXgDRz+=)juiD?gIj0Rc{wc-t$66X>}|m?8fedwxuj`L|?-AUn$dx;=mrC z6x~XVb?HspaW1_yxKk->`Wf&iOHr6}o81ERA8YOZ|JPUrW3#^vUVx$Hw-g?1 zoA9qyN*7y9Aya2nPXmb^Ro-OAJ;+OgV_?Xe`JbTGtZl{pt?;UJo))*I(F<=AVHIt! zULGPLtTggt-q+&ot#4!|4>4-&p#$Dg}Z zJ!(Z`W!XHHNLs!y_jkiF!th$;iI?!i1S<6#=hX8);kRtR8dPxZkC@p~ME+~b*9(2u z<%!LRp?6MgDH-;m$)o3rf~tBrhtfolj#9hVhk*=o6?~c8;}^f!4JDp=R+7+cmy?Ro zbg4lQ@P)HR&6bSKa1#7Rd`Jymnv1Ffr*fIfLfp7|s3Jhee(yf0CH)<|#xgC0y#$6X8YQR zA<9~+e1^8nz=;rD}GACifegC^-qfCIzxM0vDN zF&N(}nx&e@qU#OWu|o{x&69VL*K@@EmH;6KW072iN(RafA>8l++L>OmzSBroFYMeG zE3Wl5hA-NWJn$-iikmsxd*9*^6Qm8MWmU@-hgb+ILY?K^N=VE~{3Qwt|F{&H7aPK^ z>~F2Cq`lnALVq`}h=>%P1kfH>f5PC_8Idx$E9Pk#LY%aG$)kXll~gM7;l}OQ82J6I zkw@cqGSX?-$!B$0TU9)=il&Eo#&68zcXy7_UJl0IQ$`ej|(H zAXXgV-S@5~(K!RCl#t=al8$0$kHd!a8(^;%i?d>xU1X}JwSeli=nZWhzmT;0}sxDbzX0Jpp zXcBn{4e>xHL_zj~@O4Okzd1s!>Ma=JT27xXd;XIl!@^1O;oHx;Dy;r_1);AjKF3f; zCSg0eM)&D2l=E62c5hheP7^*8{fnlmLQv8qfq)-`1Gg?bqa<{Sc9HCrwZ(Kmt(`s3 zaac=8JyKd&)8d7jz5nF8JJI%wA5leV+0s$>R+VvAfc992@|Cb3x5nxgMDMt0I(Fcy zUtHrfkUnBfo@%13@+QEL*0xg~+I1@V>u=&%1d`m6+`}|hW_x9yeWWVbpsIm&coxFA z8Cc3ypY>AmFblq_z#VITnceo@HifkFDkT-8B%nosRVKyZz1w-{bPf42!rN|QHiSCL z#16~qYT7^jn%UTe&)~b#EK%aRbeCt)8JRKsj9xV+k_nKF8NDwBcr*(rHhY*sObbij z`MP7dDQKc3I*VtfTZr7J`&KjWDzg-5==pkkmU-^i{C>aZDWts#40@O&Dk1(5-2PUd zEwV3i@951QuMuWhnrW5`m#c(aumzri16=tm5!|4{i7)eLDL`EO+*tYhB|y+PYby5z z2Gu^i<91&-QYP#UnJNK-YH)3I=urSxbLmq&e02=lzlwSug$@>F za2BxLxQg6O@+K;pGmxxGL?^=*GD@;bi*cS-NB4*|Jk3KPe9^tx)ef`Wq@k4h7PzG< zCm`e{P*C36l{nr)*iYPG=aO-OZ(mhzs;CzrZx9xxiWU)yFdF13Um>B z*2#b)t)p|aLf`u{tTX-LJ zONLCVufwga@2q~@1b54KeuT7z8moE~d`;hiU()wL$P}m@94d>+VM3T8eBRBMbd{gI zsm}`2ZCTR#PJi_6+ntZBD_xkeYN|JZzwp_-Q&^5TkInkOKoXw6hYwNIZhbP%z%3+Z z);C#0G{hmT_{fUr@T%Px(O6#0qKTPa$Wd3)%Zu)?@~)vv%2-VO&rZ(+FTe~XxTU(x zx7l%sVwNbXrm#9z>WoPFBqtA-m}b-#UWJn)Qs|jDeZz|N)gp9u0ZVUn?AvYQ=6^~c zP9`4`L*bWK-+HWf6^;Z`0D_IM>tU@N5_DR>*Gc#~E#)65yF=!BN_yV<-tI<}dJnXN zL&>_fJO|!n^0e$;En`Ns6v7B57&dY+ly=x=05cUj2N}J``Rg zd=;XHnVLcuOL`lzYU>&=rNE1B_*ObYw7^}RczpRRHHbbaLmFB_8DD-QC*jjv5g34l zvIS|9TsL+!=$W~`gAQ<5QiI82O@18tZ6BK5eiZIg}+d3VX zc!M^}kGoq=f(dWKnyYR%voLpO6@z~~j+e2gJ$>#{3%8*SA|Gx)vrkaw8SzS&57$ri zzYw#=@2J&2P-~*sjMf!a4Ju`W zwppehS2+dZtQR$GsJaRl+|dpEh^UDz0fgO|oC8!8gOgcAm{AaCgdRY3^w}LcG*SXwCMn;pF4CocA zef4V8E%eDSkLAVOS?Ls@5_%Db5lXt9J2zRs8MF?WbJihKi2?~cNX(cv<97(e(X_?8PZHv3&f(R0wpaq}LGwONgGo~&>~Snr)d(KhVB-1J7p9hy$h(^EW#%%a z9NnjC#@h^@SV6}(!FuYiE%r51C`ENI9yoDrjOgCFs!M;FH5BvxX(HE;^A68zIJOEG z#=`{mhfSa<)<(kkYCu~ zrOi3l`SWXCtye0u7Q6*Bj#6I&?4LC|hWeQgqc0nk+T@IxJW1%=Qpn z>@RouIj3LiWkIS$uK0qTtIsH`TDqdwR?r&mNc~+2sHYv1oKD2&_B*7oQKluWmwS(R zh$5dgx5Mpc@oooCfP<-4)vdZv!qVKJh|w$hSA!>qIEv@{G~(}0hd#!Um-Slh z+l)|wQ159)l$#uqZQVOfQ?V*$=pR*q>C)Lw>bJUsEH&WIqRYmzPA~NDHo_-To`$|B z{m~25NJ7iu;6R^&exQ2oHsy6}(L88m+FeZN@gz!`F^w5A1zt7N0r&G4{EIxSeNQe_ zu$sh3*{}2^I`gh2$U&hA6uWN`MXC@-v=eUhNfr_@8ue8{%KUyb0VMfo@Z4K7 zRDsB91f)?ns*tjQBA)OP${F%FxV0Vu4=IK{@C${0JpVK!Pb#B9ZC)r9b+nkDR<(l9 zbScjQyZjUrr#ssN!#e>gbvI&>pD>4&8u=Ah8+;uNEv%Aa*AMXAVI;*9&-e%(6Os)z zj~eK-L--8h(wpRGJb&yO;AX6v$xqHak^p6OW>W8|2Ns#bkJb)Np~G*N-qsT#5+>As zXK6o%mwmaN+ke5zy|p00lt;NEqFf9yhCfD8mIko(-E6aaO#qysZyw+M;z4@ZpExIr z&Mvre^A!jw%xIr)ubt zG2`cZ$K8H&c=C-m!z>ezs=+?;(C$G)uE;?Dbtspq>9^GYZrgt|>TlwZbvUOejEgKp z>%A$W6+eE*c+XM*9mq@fVNSn@N#WfF2K$`sUQ1AE_*Equvdy!r+DQ5_@G`ul-usW& zi4XpiSFmHgZVGjIap3({(1Zz0g{jJWs&U0dyJXd9XdG|81O>+^W}jY&cyGhbo{uDY z-4?jF*`;&U1XnEQ0Wb8S+CPjTYU@hxE&HA(aI62&1!Z?(gCb4*Vft`?Io--48_UujMIrjnC$HW0^2 zDPB{A&=&UHx~};Ba-RK&ST}8oa5>190?(u^Em?1V9v;^Z+UcmElDF@)yO%AcTYsNl zLQ?d?uL%vh1&qp)-+%1=;u*9ns{cI~&MooEEN`UoIV+V>?le^9jajgDC?-`1erv@1&Iv=46q=m#)mN|n z7U^^SG$~%&)GFuHO6o|^==%4WuK?;yV1t{}xqaWIlA589azVu8p-Jcm3w>0DTeknn zfo8CyHJx}fe4`DYX8{=kyWdlTPMRvDkW^MBihqxZN&d4Y!f_4kao?azJF^46nuZgz zS)ZAM7#+v^ID7Sa**u`9vBqq|nLLQ%>rI95-w}Dkv?BR{d+o8`%R!rt*CG@q)sC?y zhs;QU2LSSQwkA@WYF6Tdk))vPJ*pBSmbQH4l~Dy?hR4jtc3wo7q&E1}-KALoA*{ed zcdJbtFatd9{QCzD$AfciS)Gv=O-V z^wS+(+jFo?zC;xR)3u8m@j%bkvkR)}t#G(6d`^TZo)|E|n3_wSnaZ&^?W24n?SPTq8VUg-XG$ zS5D2LqAeYzf0FnHjk4|xk(R^Rugdl9j>OtCYM6#$i29%ln1dp8JM*JcS{vMKmqaIL zB%Wj{V5NnID=;*D9>5a|VWa^~OiE$SmuiX3+QL@Wp; zK4X20FJ;>dXHfzI^>SQZBH1lQIfzhr8(a=C=9E1+l3Q^*kJ5@CjQ=q-QOw)I0R=VxBk*$O3Jb1WiUUj3J(0v*Fnept3ycHn>6 zJ?pgfUUQp<oor7SOope1YS1wvc_%`-+|ln}1vVK#yAwXu@#)HP@Iu47u| zXqtxH;n*7G_p(Z8p=`zZ(MJ^-Z&s|MxH7pyHePNDr`YEbM_o&qURM<*=F zqkKfMESkg=cj-U*hq&JfDc`hNRLY3O~(ZRV$q``J5!2KwHiD85OQ zJX3ourvcrfieJj6H+i+$FA->64Y|3FXKRM7L*C%xI?YRy8wxx=PyF<8MN0dQe?alu5rLL$$nMPoS15p*oweQOFk7-P=l<}c4{&7f5xURv@{E}1 z*&aK{&Pw}df*VY&D?jgY*_JxFXLDNt2gCnHr_A*dVhuIBq8FKlDZ#&9c8CMsQ#<-M z8%x){n7l8W0s!IQtcD58DfeWLl#1uZGdVphV*G#Hok;d6zViVtKEC~PX)l5`Q88rZ z4JFfkdI~zMh(V>DKbPZcHdv8OwJA|bS(L?K8SZY0Xg)l9m8ckN+EJIebB0iKQxt(oqEYI_Uv}+Md4kWu4;O7*#${j7B()e+1ilUDutz|| zyY}}wXFsV$58S4cOZGNU!OWNrZOTKYq1}b$>cK{LyoF&qB^BA#g}T8c#hPfI1|-_^dt&lqB?bA zO0K9ZQnS*hT^e8AS#Cwb+z7Y{r#walSZ@bksY?Uwn7Js8ubhocA_--b5n`T3A4z2e zNF<+%%Wtz?I;dJUjfND0@?+wDB?V7la=02tWLN9SAvRL!)i?=bv;Rqf`7npDM7T+c zpn&^bjxixhX9lmseinhz9>E90KM~95`5UDUzlF&UTihld(TqoQtoNKA#$Fk9!_6)3 z5fvTXOP9UkCPwiitl?j`rW{IuhM=En4cq2~!;@&zRgq{6t9biV?h{c2IwGzaY!IHj z<+l!3uq{s%UW)$hRL%$*k~up6s8UF*)uZENV{r>G>)F~c-T2?IpZJ;;wDxW8J~*Iy2oAI0bd%7&ylCy5~}WsCV>npiC{2 zAC>A-UU!JX5SGzJsR>zi2Wmfn9A@FDc=OVq%bwRefOrWHC!?%Y2NscX!y3?!_*2P{ zvv~IVKpO6U18e9Tc>qzS;~7ms7WhN|;^0bY!SR*~#+Rr1d;99zA!KeM5JKyB>!WI! zWDdWJzfVftI5>(K{@6dl3@CI-yK{ZHfdK=};iq`_V;{?x!i1bwzjt-{aBZpJmB-`dZlrqXf{GRN)^-}TPF zH8EQrW2Xgpk^9GexyX`jV^I5XzEC2`6jEFN6s$`6g)&pr#z=KdDpj$Ms0vaPY$!#s z7PWxZAM_{^$>ec|8l-|SHF?y=U>Vc(!cbME4mL_!0Ip-@%QK^Eb8~sVn8|;T!SqOR z0FJHiug=M?jns-|UNje{PMI1(xs4KW7sN;*U5-Lf$5tB55h zgH1{?61*ExwOn|W8#fO96^(8}EL53t&$NHmZ}UR_)M|0{H(&AF#%Bbz7zXW&nb*!` zJd|JMd2Zf+B4G%!lz)zTe_LJbv_Hp0Y~8B%=u^Dmjf+1XmHXi;#LD}Jx^Qh6z2D|p zLKwuC9~CfK`@>7=jK+auZFlLU-N3T{d#P#fX9YR%$ZDDK>)iu|mI=rYhG@0FOO;|Q zYe9aC+>FFGFrJ4G?P`RNa7WYEdcz-V2zALFen#h~3Q_!MF0nMzDj*O$>5nFnqjFLjwk58F>PB>@TN?a<4SrK?apPyV!1S}Yh~><7OJ<%_suzCO1f(t? zf+i{V$Ba2R`Ut%0b8LoNUZ(kTwLWA!K#4?x?3kK8Y z?kkAn=+YyXE{Dny{u=tWKjNeN5=*nR@g%mTGy=nF;WSG zJ9%>-PEp@vQLmt3!VLGL3Oq^l7zvtV^*4K^LS8F*qP~Q{=zcX+S?=Y*e_d;~&uSPG zN?zOhm_`$D3+!I`Jf+g;1PmrB^j$#Hyn1j5?Wz#4N{5Jvtr;JLK~qziW@j7ixScK6 z`g+-GiIyFOI}2Y*RKrbXl%cKkah{g>ae@IvZh%oDq)Ci>fv6vdA8%&+rcI^F z;M#jrwUB2b#qkxbC=1x1jG7D-y$Qf#%mr6?j$(wCLOSgg9UOTK@yYg&DyLSdwxYSo z{=9rn(uk1VBoFF-W^`zT3oZX(5e@X3BnO>OzA_5gI`N}6Xypc)NVaO#-!>{D)K%qG zITfXf{Tj4CXDVxl732<|@7+{Wcxr_k z%yqDXfEI7aACUOxA+fAa&*A7T$Zk+Y(M^5WAk(Aa=@;gM(&w+vdVOQrhPko8c0_Hi z!81Dp;fofcQX0(a^PuT_X{*0oYqsK^9_9vhcE^(41KjcpPr|5ffoc=2cL$?kpOqj~ z@zHr4E$Bc3qSzlH=rj1$i$yd#YfIZKgdJ5s%fq^6${nb5P_W;7XjBmoYM(8znWpUi z=B*x0rT>;Wa8Ziib;}e+^|*QFPMdLKW{;k`OB1(W(-li{l-w5nAn}=dN-7WXQ;##- ztXlBq*i^pkPFb!}*^tPk+O|q_~KqOR8*0ZFNaISf+X~LpA7!Wg@k)bFtuu zk28z-90A|l$#*~L;)=9zw8U9KHcod0s2+z#A81c(lDty?zC5(S#Q5BeFd3BSU(U9i zHZQoJZIjXAlG-H_137Ukokus{6S3sn0oXW#!R{+W@}ZB}d)}NVHoO<{rdkw1A)}Uu z<5Ab74$+N(7fg@6M3i3W={uh$cSq$Y5<1enTCWJS zCQA#ml=rHXT-5zzW87W`-gj zh1S7`@Q4X72!5q@JjY}IO#Fvy&BqRxRi-TvPA8BrMm#lhUklrIjedPd!e*k3gbs9?n&7R9rV1{E*V78u1|ZKL9uv_ptfhsTHQw&$%EZkRrrG!t zr;eJi+|b$wy+}3z9S1tm_BFt%_Ra2a9^ljcY{OVoxtt*}c7{m#s>6v9CHWiw@(=5k$)&oS zrdva(`j`&ex(VV3En;O!{Ly<(>r_CG!gaJgS^PfCmMJE{k<1UBno+vqAjKh*=zwhS z*yxde{LRwMj^s{GdU|2<*$jScA0{E6{Q_02ji`8M1C5QCk(*=)V#4{F^DjD(93pSZ z_SpD+{Ng2mZuHfFH|?#q@bmf^d*$uU9#qqnrdU-@9`xga%jcF=;tW0o#XoS*5x8d1|66bTU zAcL;GLfUkB>b!z1<=YSG%bz%>!8QL*o64*vXJ0u=b&K8Pi0%HCI#eQGf3AjKO`|rt zb7Qz;GQRjg!+WqBf<=xM;|ks`iTM4J-1MpPYux_k1km>ib=;JmeP%W!=qkPJQ&Nem zVIEqXpYzooEAfEMo}=9Y=O)RCWNf;smy1O=YW3HH=IvUJYRHxoPP@T;yj^Pmh#aGq*x9+=lA(1!03*SODe28MQFSe8Gbfo>>H8(wZxwZ+$w|$yMGKW z9V<1_HQT(WN?nHNw1Yz|%#3DID;!?=M&F3)?UG0|eN}e(^JS_WTB=IKGO;~{-fGay!)rH>K-oI($1kblG$R4sGGmH(a^v)+TJ9quq>>?|zeIMjMn9RGCVLeV+0SVhIizxuE)#tqyWpE){@V?K0y@8z@uC+0&Rb0%XMNc_SvXizR4V2|m# z&4fd)DS82DbGA}pD?S9SsuH<95y2Znc|LUASMvX2kU zHj;`YGTDF2VyjnbWWA|D8af+HvkK|a44&+VN-Me^`a-;eN5V;=T(MhW{h-<6p%n!P z<(pWOU|ThxN7>?GNC`l})_zMhIl30u8eshFFDPGDf@RyA7`6#@4f${*k`n+E9O6e=K+&0Inz` z>H-DaZLgWGiPh)xi3tM-uklzBF4@Mi?7{2Aylv8#hh))no9QnG zwyD<|cjmDKHuvN@K;~#`zmFAJdFzT+d0O&_O0bcp!r>pMVL3!%&ez`BZ$&m7qwq(~ z+(Z>Y;G&Gk-=*^1zo>t`9!T%;eiU{uOjG8;$7i0lGl&%h_;z4&_(Q@ZUhq)wWAD%M zpAAP5^9Y3C)zauh7-4g9$Mhb(%se-;@+a(q0{#_rx6ho_J>}5N7=?2q=yk)Zhwp4# z2Ub$QJ%>9e!K?Fc!Zz5xx)N53-+py0|FY?-&8f~P2w zhw5`_m*;&6un%Nyu^2gmnm9THzd~cV0rZZ=5L5av!Hdfefrkb?xehP~M{R@s{O6e3 zooUPB*Khvda!vKhNeCaiVe31~xT^Z2BONb0rcY0c zm*N@VHma12z0)k@j&}7+7}IQ7k+#V7{fXtg?`(tcD{<+eFroHB4CA}5IGU;_tRcam z1JUToEQVUnu#E zN3>VHt;- zPgmV!-@LU2AswA$kJI$B?9snyuyx_%4)?N;I=dxV2d+PjSa%%0P!vp?I%}Vm7`23+ z8&3moRtiH%newc?9~pWOKG*T>_&@hHLDyTI#}hKYzF*8WtCC?{uYbA2quAVQgK> zp8VNNZY-EOJ54wVN90RBT;X?W`|+%`uk}|95Ly-6 zcRY9TN>0&6gEA3_IfCzgDsvbEkAqn&DX)b_hXz!G{<>IC7)@-Zf&$9I@X1N?jQ@K) z#*o^Mkc^ovVs-82e~|T_K~1$^+%CO_-i!1SYJh;!L$3k>M2b|As#N8n6MC0s=)I#L zpn}pvldd3$C?FlBNDCzdQr`UEGiT<^oDcbu%$|JM_uBiOb^oqw{hFveXQN8+L1iFIWMOFwrd=~&;zTw`}E0*G_??9 z5{3;f%CD0TE64n_bqu@3W5Z+cx7NO1k0<+2%2_Mu9@Gx&T@14JUC?OS4NGm1$xsp& zLV*9;Q>fAUh7lBJ)Ir?nR)k8N9Gto`u@uVY#alu_Gu@$8n3z z?h~J6D)ztslZtEfs``KJM%3+Jj=BK$XxAPlv+?ZIJ=>=>`&UhV8z+Icw;g~fpa%wb zo8qurY>6;{y>I0*>4^9MFdX86_dnr?V z)W%0FMdZ(1@385%^m?##xCdjqByH21Vvk1-9YWG_BX{;V z+{}FwOUyhsjS*RrOLZlUZ6N%Fxtv}K=MHqOU$iv%{|xANi*mt<)HPr6ntPGsr4(Ka zt)vYlW2_Yynv^#$?w_O(sW|V+ZZ9)3;i#e#C->hdU+Ha`YZ^p$4|-9U<6hnU$4X?@ zXOVfU-P1N9M3LREGMK%P2ik!=w*#A|kAFTGUMEHbq4u87#{clE%+M$j2l3Ya?25cW z=hQC9v7Yp7d&rHTs4oO7b7jyP_K^~98=`H4Zl0BtL*B4n4>@6cVp7HuLsSJ-oDpOr@(J>I8!y8XEoWhra@y1c`)6bGyG}6qWJP`4bQ&u zN`?gFlcUKx4D5OXT`zD+#c>_A-C8%sGr2Nggp?&9r@qs+Y?-39e&{76RM2&g{}wRC%(h;hROPpA?EIfcwew!wq6H50K zr3ilTxX8F&;rFmCmym`b`ExC22=i z-?;p|)Yf-p^bgswUj%W$FTIAJ zZVej^%eV2Ouec#{GG$rxmTLdV)RHcw=!JH zd6&Zxrxr3Ld9%bsJ>OfPSN>m~HLAVChPt-w+pqD=+SSjF=Ysgr+Q$L`ReZwOIgZGk z^-+LNx1itvFYVV^aevg1;mirk-&gBFC=1cT8`w>Fz8x;^oXaTmH{{&s^~}cS!hL=Z zv5uhG>k1>!8#`_>9eSo*yy#}_n<(q#6&oIu)s z%*nIs%-+wp$3bY1%}2ONknp;y{=MB(?)XZ?%Gg-~u|}4jqrrN8f71laHz}XE_IR5k zQ8OF8t@oXQt6HV}jV_w2su~}F6W(tNlfdTR1IIH@fS)*_bdHH{zOGDGgw+i_7NHV- z9v?>>|0-x6nGr4h+Qtz)kV}!ZrDEL(RX)}q-N#v~o!d?q(Re^f>a=PYH9GE)I^ZzD2kd> zisbPC5hC>K+XnkxPP_VOB&2Wo2Ij!8Zq&6KPb+<;DXE|Jn34O#sP)%KSMu)jVLaJlo&HM3%(MQAD~-Ly$KKFNx9aFAMT)Z;?E1b zcPa>_wpDM%IU)mQ)-$+3#Si;e`5uvadMXLzM72)G=|>lpMw|BA{;Ga3q7=$!6HoLE zGJ~!1#jib=GJu-`pQ79+q`uyl!FvR*1U2yx2k7VElm8hFhLB)(tuQjSmF}kw=H0XV z2|%Pl$r;#l5wULS#2<|2kS3hP`ff-V2;7i1#B+?Dq5z&i7zHN5)sDQB&JVjtKAf- zeIJ{(Yr}kowrpZXJpTV>J4Au4C(p1&(-j&+S)Rtz-I&s{w;_3CUsZ|yAmh0xWS4f{ zz2_*VZPr44cMl|E*Ebc=bw9quj^){$i$i-@{(4|BnbJG4pH+ws*E31PrUEth~@ zm&Q_rn?2_LM+&P&+KMDwlcBr=1pO=OLGufjnrt>41XxY4@-hxPrnr~9TKw!l{x}Br zPP{AtV6xiTcyw`s zMUTk!TD3!RgW1}CwHB)kLAD}gJvgVU5|K0RSxUSFr|`^kX_Y%6;yx7fyR+jxo`Jcr z5qmeF3%cFYH!1WL!?b)Jn(=+q^TVFdCiM=VSs=|-?ka7>YkT944E}Y;$ zY^M$@f6+n_LF3d$XkSKtekGu?scof9Cm?MbbgM$tYjQ-6wvajeZs!)W6*X`X`um*% zFpVKnZshR>pT-VsyKx~9{1Gq($=RHConbF5pjX%xwReL`?rA`B?@YH5e+bOs?>6xa zy9>~l{TbAYr^w+g_ZyTD!80R+>u z3%MK)ojGLjfc9W>DQhE>lLDPwp8rk4{|eqe&4tXq?pB`Fl7bu&S-VnT;Om!fl_~1W zX@}xxiiU*J9~G=^ai^xP@a0C;LD`%I1@R$wfw#}Dc3sqf3CLao%*ZR*fc+vjQWN&^ zKw01J5~08XcA}LgXInEet>V&hhjMd7eEZC2%~@jnsVdSZ76jH!b5C}`*HBuPF>nTt zZcZy182erW!0Kk$6=%lbe^ZfP$Uk_;R~``CUd~1-Ave=X7jM69Euoer@yPfqhp8{u z6{gVwbJcEruzqx0Tw+DubhRST^y``;u&q!#ZAR*Qr7*1Ws%e{$P~~0aYb;om7h>)<#XxP&ReUKdLk5uBpv^9pi)ALwgfss zH;0#^X=gn+B#O~}67)FQkBvYnk3G;lv;9!n^DiCLwd~okH2;;Y5!#x%A9dg>qTf^T z_SytF#WC3jhCF*0KOANxEP$rT3(*amu)*G5JR@3+yM1dJb)c)yn4r8H(NL@c3$(sI zZen=y3Hhrg?Qquu#+dOy<5lH0<1;c9p9IV>50&Ht%@=+@qr~`1>-2l0O z>je7P!9&!KlNF|zi7J=h;zD=o(`t4Y4F#kA`Mw>rj@5vFejBAMd+Z#H?}g!Utc(>Z zZqAecuM2?wOo}IjWX+JB1N1Nq9tnI@5Ep!H0|K)2q{i@)uGpNUk$@!K3|#iG3w16J zRL8nff(cLNtfA1bkDPv8avRN?I#-pY?!4XF&yrorMgruQ1KqHTJLej)ErexJI^F-| z-cAT}LW2<&x2qe6Lx&5^@Y5G#*v6-owkbwUGpKwv2KmbE8SZkXQd@_FZST85X+CGg zk>7Z!yYy}J{$;{$O#jWX*=gI?)VG^COQ3UPn*U4g)jnRuj+U~|-SS00nw9~9)+CW7*k8QgxZ20G+_ zFd?_(5i|cqIQ_5%Q%txlYEk0$J`;ju6P3mzjml220)Pqje3543Rct~LCFxA+gzaN{ z#h(AjuayxS;*Sm)&>*}W^otJz;|>05Rv-OT%MMu_sD+clxobWAXh?zEY9t)d+MG;S zbHm@tHcfK=M{}U+>-`-$V;?vbu+4V&CgJx@kw){)Jq_JSW(9XeFqx1mwd4zM8HrEI zvEFQE?`n_dT?yEiocF2Uk6cm+6PrUh-xflC#7YB6kICX6HZ4cH2=0_`dQ7x2KZZWE z7jU1;!mO*I3MTpsHZ1Xx{Ei)%(05)A{$|P({WmR3=^$>SEEQd9fbbUd>)b=WzwdFA zv?@bY&sOkn0RB5mfZds}m>TStUCM%~bGJhlPr=HvjZ{Izx~@cmRi;GM0;w+ep#t#R z)18zZAWUc7Ka^D0VQ`OusSKM;yv9Z_{}oW| zk(^(hrn&(vE{^jO&s1J>C%n<=H3vfDq0tKm;@GI0JI!)3%z;jlL+E=SH{U{|tGb%j z)H)g?RT$j%4*jOmk9XJchm*G6!8k3$U&Y-cyPK+?@Ptkf-B2CC7WAzc`O*N+vA7c zAC_dD+|{LitOP0A2*WcKLIm7fe^2!(V?(-!4fn^uP`9)9QJogkC%223jj{eBlWK^} zUm>&TZ1=R5=>Rdf_%%CS%+M5sxuu?KxYUyFb>Mnl+f{Ep>|XlE&IeKwcIZJV$Cyq3 zi$|Gv9g7|vo!o}wmEn}OB~Ox^Un=R;euLoS3i{@C5vYVH@9DAM)*;~xyGAJJn(7&@ z`Q&LN!u6LX2M5QOlR+FQg{{GcSz{Mcm^(J(^V1)lJ2vr4oWb2J4{C6?P(Jc@Q_g2m zM^7Q%>flJ~Y#+4EcV~Try>}vlBN|N%ePsI@_b3kx1vxu|9k^t8XYR_de}^V>0t3I0 z@0Z9wE&Qlc(AxNLBQkf^H9q~c%Pv0k{9I#ChSLQHl}_>yAT6*cXU+Qp*?YDWSh-`b zU5W%hs`1_R-Z-D&aKayk(@iWZxRi{@>8W0K^d;GF!M|qm-5|DH{&0>my8MOGqdx$4 zR$GIKa*$qj+!6+&_}702{Q9hwFAQHy^W`)<@zJBD$<7hHu3u&z&rvM~b2*>t7~>mv zL-=e4FKXfGbr=&_w5^8Zh$GtZOMM8~C#M%HTucmMzN|#96G#u{*P%mO(P?r)E`zo@ zj8cn4Kgdfh@iRYZG-A2&UYrTcKOOn3Z{Ai0kxvQe#?%QyY;&fn6gwWBrEdqNXj9jq z_Hy;yKNPqNG#RDVBXcCm^~FvXv>*E<2_t^Y<%#vYqccf*nmpgfI$otnkEQO?9_%m5 z{O$Skkrbn@pBhhWd!l(~?yqWtpm)QMO7JgesQ%OZZ=LEAh(2AwV=9=44{YQ_YSeZ> z0*&73DNz8EJ=;FA!0$)h0pHF-eP|8i?Mnfza_@pl=6gbMv7sdxW0uk z@Lb<`<=0_+Va^IbRUQc>y2{Jo2PHVBn-%Ia;bMMCJt=!pJjL<^ZYm0L#QS|VNlz$1 z9E-Mzy`=163{XQq|BG0UJ-~Y@3h%tHiz?`gAE*&+>+*ZLVX9V~WM80{VCsmpy`Ux4 z3>RI*k&k6OXe0dvv@txrEofWYDcWL(s`RStV zZVp{Hw|~dRz-|;IZoosLeG&H_)J|dzzKW2Ms82fl(Q*91XPs@6HPf<~9BQefaxH5jrs*ved zQ+22YE|GA!5<0Lsy8Y=e-rt5M^4c|McN=v$ZbjO9HeD7oQY`)x@o&S)gnu8(_lW!V zW#b3Mjzs3noALrg*pddHFfZ&v{_Fg}LWtu#WZ1haW}UCqA`_KMS|m6N5dZtA7({tY z%N3{Z9CBy#Z<+Jw$S+y$zl${SWv5B1v!IsMN!2G*DD+>uh_|2v07mZx=0C22V3+w! z_M?EsNc!I6x+B#X7bJhQ>@|PC0IAt7Bu*poan!;uhxjBSQ1=d7ChvDaj?waG$2|NC zK9L^YLU;+TkBnosqUFJ1&U@>mYLh5H&3v>8$e_V|NFQQ@%Ml6ta-qsGWuwN&bfq8q zdly;R8cfsMd-d!Kqmkg(P9k^$QcBo7yEqq4LQE=LFCQ!7fczW0>RAQWrQzJ9S96I^ ztALZ!vkeQH>^4f%H@8fn2AwC*`Q&v3#aES1%sezxE1u+lz5q$$4U3{lJVwyHMD-8W z=;D;irz@q1l2MgNi$$@2Dx$VGvv1vonmLD*(PI_;+D5AjsTjFc$z2nf+y)$e+D%4< z{j@9Gb8P(gqJs{7R$Y?CbM`@SYaXJ3_{Af9Gh9P3ajU{WXTK;e&%gFTQ@R{B@B%Rc zu->Z#>6_aY&!q_?x8dHCw*5pg?kUycF?JVIx?g_3UCj`rFi=HNzJ{HZsab#@1$R(P z&4vojHDj~AehQDT^`U;Qze8#_wkfzbNRG7%Xk5Y5*{1<_CUoCGq9#FqHCo~JH5coV zTE^hCoovpodq`V$s4~hdISQs_ee>V(2pmvi-;56dQFDk~a=Y-33a+gGY>YP1{KEQG z>V>y1+SW@Rh@PwEGV$>Jx}E^6^u4dnaN7@#+ig2+7djlT&le2ghg>9JUPVt`boG9H zB(l*0FjYW7^F%#|gKT1WCd|;|2k@cOhgy@Av#}XyD8LPq2MSB`Z=gM&GP;`L3UHs6UHZgz@zw#>=VK4%a4sjeON2Q1QZviuJxclK z2h#gq7iqt^deDWJYPGn(B@FwgT0_li3aH=5^}icd+IK?Exu&Z1GBQm61Q8_!6a6h6 z(tyjmwh;D(P=_9ck!Hexv9v^=AG}-P=HWzD(_Kf? z#BDu_5qsEy;XA<_qA%um>|T=ky;3Seytw7i*gC;rSm>je2qA>=6T4G^qZ{yWRX64x zWto41m7~Ak?+_*fjKEuy96rhv6BADcU?l17ygNQJ)rmUC9& zaX3pOFHqG}OPGK0qhAvpPxKq?(b=X6=Y)JLIY0VSMP$}DuHdc5#&72PE==A(*E-O9 zpCEMVr#&U`T+g-N)12n&_tsxIpy6*HRV+K4VY=#BIc!xTk<#om(MKhzzd;jVQgxb~ zJ(?JW8PlfwDmcq1&o}CN$ApwdS zDhpkjsv3Fax!)7g7@@MefJ>XSA@R97+n4P_uenx*7(`L>bXISfw)04N;O!39<1OlJ zD5;|EhyP|*zb?vlE@j-n?wwd~`)0HDkix!PGU~_wqA3u(U;aCY8(wV@TkA02)G$)S zTU08f-MyUPiGB5_o-+53muJ|RDf`k05j398WZo`MYE53akxLg~@?OL~SJZ}xcsYMc zE;L43=`B)Di=w&>ziQp9a6TP>s4-gg;NVT6^Pl@~1@@+bn;5Yv72=Kd+XUZRQtrpc zoKX`mAXF3Paf-?&xZsMLzWJmmLK=5{z(n&w%n!S1{a@E}Rmq}gjVc1Wu05|fbU&sB z^j~r3cW2ALNwEyOOk=Cdw~h_JJf5?Q5bhrproy}XIBI5f`5(viJmqz@ZLsGAMg7Lo z0aR|su!g|e?IHGzPcdqly#U7;Y@j}q^{hOv;Hw4X`lT}IBPBL$)%gHS8AaoKru?+w zHHa&gW;JY?+8iaQ^yZVw?+jxZ=g8&ahQ|5dsW&c2vguk(B>x}N9*V&m?S{=`wZj)9 z>t}l-tshh!y+MtAG$)gNDM-E6O#xv^4nNG~2q#=2)+5$oz{@BkdgC|cmyra&W%F6KRxYa+e;;TRMGL^+*sCQ*zQs{4P zcgbiRNGSMsfxOk$I92uZhULN|xc96qp~KJe=Mc_>!gP5Gga z{|n)BvzYn!-(o?WHtX1n{iLh!JwQeeiE@gubZapN7Z~zb09( zwvTc$qk@OF4*Cq%hU2gP!|Ip=`M`ekl1qPQ93V%WOUp=6@9=tAgW2o3$JqRC5NB@M zC?%Ia(@p>WdAhQBtvzW(k=WAIj_EQ0Mv14u9p%01k zlRlQMzFf+AcK`PNd>50!FX{C=&N;$xa)OjG0lz7$G;?Jn(4 zzC;37?&{yn&*!aFw$b^hX026`NjT>Gcz(7e3YInfu6)d8-FIAFM+))Blts=c(Rtr| zb&^;cA(d1#dCfJo;hvK)QY(`1wjG8}evrbAekGx;Hn<$dW1eZIg)VWhhl%f4zy)L2 zQ*QiNgfX&N#kTufHUDx?I`w#L35e>N!Ql+xAR-TJ-i}*8%V}k?94RSXU}!$rT3+a| z_0qv7bj;tuT)9F`zP|A+88vFGdd%tLS`Jpa=L-@uS(_FVHG!pDKe{{#`wii8Y4ovi zAL{&TfXrTR;L@8!WD!^gycudWrRcbGoKL1b>kH(P_4AklkfCH&!kMJ`>aY8G?=dZ4 zCqEcTPeHXzJ5?b(37gh%gRT*bq7dCeaNJvcOZ-tmsbG4;Aee>XtlSz?! zQM2w*XG2v_w1y?xxuF?Y+b&A$XCtojnY-jOc3CeXY5^vKt9qJ|Xp`Y;7>L^D=lJ!4 zJdy491o3Z&759lM&@9-?zk?i<;G9NY^`lc!`>4T-0$}?65(51Z_44tC6mD+gxHLf2 z$#g@7mD1!Jk=^Nir#kXP!QPaZvA@ul#vI6OoO{8)H0Mas*RZw+`IusInRpv#{1!hr zukt@_9_a8*vvriC=5DhzMUKOTz(L$0Z>wP9vhLoKNd}>Cw)-QpTf?Z#d}b9HvkO3D zP$Xu}4XPP%Ez|o1YJ%%;1=UhncPYf)uckC^-ZDhHXSdN4k^uL=U$%HLdKA45&y!hz z8ocF=weYnY(-reXFO|8_$chJup*kuE!zNYNG`f=P8~P@yMEQ-YS@&|b8Q+b?Ee@}Q zCECUmiKaT(pDudiANmBx2FIX7N8>QUIu?#k9K2Ix0jXvwsmSY z&qH*WlUw;|n&@f^fBok?Tdp1jpf)Yo=_u-dq)_%xcjMaxK4%3j@ttP$N8~pU+NJnq z{ov?uQq+@imk7Daz_=xd6h3VvMQmz@Qk8c}_pH_V@6+n^PX{MgQM2>y&B)n^F_}jx zbA~O6Q1+$pS*ozzG)%^Rc9K@NQ{t4@kb-}LDVH{Vmv5!mX$tKY6ZYD$2G8J`5JfLK z9KIo?eYm_$odv``nN*S7ViaZ7Xr$^gwN) zt9toqb8xMLmm2U)qj8xKAjF6c;3ZW0%-%nk`kiOt7qAa&#(opG=u?W&cfPqrHQr%S z>vIZLY1sUy;M?|ZLK2%Ki*_giwTXLS%R!i4(#2Q$-xl{xHwJTH`Tn&P^QG;V zIYq!dDLhDh>~+iG@8@O7l4aT6X(C~f{682zUAxQ@HRR4J6MLnZFz8YkO=LGtDT%`F zp`2*;GJYpHfqgAB@$q3Vd$(O0MBhB_X(*NogY0va2Zi#0RVDbZOHT%DYkqj_S>amM zcAI`mUC+>_Q@M##)Gx2wal|d)inyNG9|x5XCB0>$6Z-3STC)D_v$^gh5%%NPJDC!PF%o?(Cj``sfEdHt; z`3Spv5@q@#!lH=DdXM$a$Ak>xhfCPrr9aH>UA>ss;S}-AGX-~_t*J;bYks`D^NUEE zX#BX@=R4q!vGJFe!B zS(Aw@a^p;Jb@~)hFEPLDwp%W8;#BnZiXeJRCGSYMu%r)89|fco;>zBLCfehC4TFx% zm#}k&gpja{%|H`AKj37mMU9GIM&bt?o{?L%Ab?7^ILOxk|)LI5y-M z1?C`T0QITtIQ1zP1>HA*FCz}VZ;UX5^14zE*td7S&s%&VmsCgRHApz^1i;FVds)LY zvSgC=!hGAXCl>I^N}&r2?n@Wj|5|4H#fuHhRq6JQq**+JNxmHA4bgU+etmCt39;XG z4bN^q9ea*n6luak^lhRtF6i9J+>R!w8T%?srwOTW)REl>GtNG&^I&cb94 zF-y^yF}vzvzH#S)Jg@#5<0#RDvi8vhv~;c>ura?y^x}!04~NTH_{e3F)DG>x`#&f} zwyCc@zZAW}2>0KMVSxLi3&he@Ls}H-F)7X);^WK#Qw*$5#?CJI9s3ZA;Iod_at`|=kw z8nV7O3koyU3|T&4?0mxe`Aem2KM|~7L$mvcy9}c z=2?Ome}fHeP+k1dxV%R9QJ#mF_n3HU24597APzR=a#{lbPCH<^-A`ZW&&(RRAYCSw z0?D7##Ahk$C8Y~K4jV&GBVx|NJ^g!*M+<$DA0U_J86$4g)`K+tS3;^6!nH10Lf8GK z>?=zYj?GmgO7|;bw3+J}c;I=60X_K_NA!y!?#-0{|h$))7}tT8Mw25eh+XJmgpQv z39Vm60eA>d#Yq)?{clOKGemyFt&QPDbKg#0TT|2`1niLBn-G#mQ6=E3Wh^m#+DMkS zWDB=yVT8(aCQSpHpg-*M*z0PDS^0UXNO41@Nh0Cv7?raNSVtb_rATy%W)UIY-xDmP zj7>m_b1X3$RS3I5A5+~niRLcPSuKBB^OScjJ~H8Q9M+d!@)f|R_|qps@G4BG3(Jh1 zQBw^O81BQU-oXN=cD*_`<;Xo;ia@%EA&h;e4Og6<|4davj~$km z2l`Ff3XF&>SCz5ep^DI%=I{7V&)anCJEif@#5IcgJat_ONK-OKnim%<^oD%D^6Es_ zan$Q*%_XUpHJ>7zn@q_`_$F!Tkk#sj&LjP5HoNTAYk|42dl%WhQ;b@+T)))SIZPi= zt66ixZM0&aJn%mzDQ7=~?ybKi4*w{9jb%|dL%V!%tc_vFeq!-3(w@n9%VO8LKwWRr zBiMM5Ly3I5e^El`81{3T8jOIR3dFN|{u{K})T>olHG1c2M@7#c2>)83Ej(JwbAmH_ zUS0G9--t_sCLOxN>+L&TrW+ygW>ff4vy1Er4~kqyzy*9Qb$q{-<~o`laB)J;nLf;M z?<8WhYA4j1`WL>p)wPWwwSYn41*Ez_8g}6mn$!2wB(`vCFB2j#{LbCDTL`B?+6nls zbmk;^`8Kk71M8$?~> zwBHw(Zm2N*O6?a6|9@JGmCmd&_U|Q5m#@i1Pq+NH%1ct8)&0&{rHu|kyFuqq3=P3Q zPGUb4Zv)D8k8vlS$JMM;x51N7OKC@CWMtB_p6Xs%TtLDZ>Yu}@Wqg(hmpt_E_d{^$ z`EE%)N_hcf;8HaQ!!hkG``-tuL;p~gWzq^854_P0chI0zX302u!hU~x>GNKMv9um5 zSSz7ro`wOIv);gN@Ni_XAn`}qUVsU%f|CC%d&wFE&2{>;z_E51ny8(I3=~dY4b9J{ z2>hnSd*6taY9gZ$Z*|3N@|A|gDp;*kgaz%Q%8FKX<5^EuaxLWmEq*p@tax1%!${Xj zwm|ITZmE`l(fCf?A)q-TGQy*Lc89mvry(Vyqr<@DZ` zHj4PptNRF-yJJ7l7?Cs<`|#KU*78Ht+4+>{krM4#4D9S~EX|+=?0!|Uk%s7cBqKR& zk-yjs#l}^o%u}aRT-#3-u!w4IDpCMygsm>lV6>|43-ZgSx{FF7?MZxztUgnA=|t$Q z_9Rg`6)mUzUK>|h0Kp9_HU1$h>$rSZ+YTbC(s1K1+G)|~JA)nG_&$po{{HilNo|9F zOl-hiRJiG{^8t&TzPJROcqEO(V=0KH^0Tt)mMe}@?;h+%I*Fo26+X1tpRYN|=2Z$%H`K@WwvMKuXfJAN!L3MO3-2soq3| zT3H*9Lb=dzg5e5I*^C|ibmd7qebT<_Rr@CACM};vIiSSp-`Rt1@(dL4cKtivgu6iM z93lN!Mg^7mDeT+OL~XRV*9V4N31toO4u+v6NcV57#VUtH?2cfiZnZRb)MpLdv_$4D z6!nnF_#xyvnaEtC0lVVL@>Thke?riWK{9Rt=KUVE5*i9xGtYz;CAumsy z`F9bQ2Tng=i?c;27ZQO^UjAj)UyV48&CIA3Sgrql!oM#ZvHhj8h^hOYZ^?$bJ*SdV zs-bc~HvVXbOC$89_V8uF?uE}xLw3aRZS%hr%&>rqf{&UeE9F>?AP>(P(+6Cf8eGiKP`iP+hCgU=q8|Z{$9ZVQ?>Z&!`tR1B!S4; z754TK)AaUP9pwwVM~I*<>%QhPx#8u3$6Fv^(&6>|{T}!@=ao6?Kdoh_4gX7fBdD>R zg83}eBX?qKcuSDnPCPn@u2zeZxUFN`;R}6xBG@F`7hA;5lmJGv;?y+A(%V~{=$ELO z06j?-5g((oh@!Ry8EQ!ccA}eI^m_s1?NbuAQU!Mbv)`Mj!W<)?8jTSpL|t-$UHDio zG+rnK{`!rwAD}Z~Dr?ep4)exFr;W}scMd!{W9?hUV`qKE5TOdG8jrul+EEA*;*PtoY*z^vl{UT4C1r(8@loJr z_5=`!uUddPb;G68bP%DGJ=Tj=7$~%;QsaShoN$qS=TUeQ=bn-WF;fb1P%E-_eQdBV zMCEiTl@V>e;QkvWO;Pd4%86x;|0|2|dv_1$*0p9*)Wg$F+4k$zWuqjvpILc%hP8%}5!wzUg!G)zP^iK=t9On|^Um=z!6H!D!A*XM zaQBb{x@kkGzApC%E&Hr4q@7kdx@463M~+ZB#(=abT$1VRz^bE3H`yqQ2&p)eT9IX@ zeUi6+rnuz8Z=7(;j{=TeS}4PwzI0QqnRbRyov)=ed3>u z3*O-}kq`Emp0<82-$$J5@0CO=Kr6ZiVb-@bogp;^X${!T7ap?f(#Cylp74O){pR0y zQJT(x^wPvaieJ%rFg}%tI~R>L5G@E8d2@J$xFy+cld4Q5h>m=F_F&truC>=gWFjSP z40n1VgKJTiKVG+l1HjTPc>^$clt1Q`FW#hVNUIhzP-r`G7g#-2kTozPvYdcQl*~W= zaR);$R2wv=*U?i1A7~g54nJVe4);LUBHXnE9jc! zSfbc(w>wj$|CF9Oyl)!hHr?9cSZkqh#Z--E7Xzl+EWSd`gI73tXmmI4O`S+kjPiY_ zJ~XKIR6^z-}=}NmIl^Ntlv9Ab>(wK&Re!8=`fID@e(7|{{a3+ ziza2;TTABC-*4i)F1wtTXZ9AP?#`s#J7DOh_YF@z!>TByyTRq6;W(h=QS#hXIWs2n z0mJ0_?KMZ4O2I=*9FM(&bZ8R8^dx4_u%vkDhb8^#x0pYplZDS!-!lKb++W>K>zX1m zj8&leqxe?;*5wOV7wB+=_*(58*VSmNv#$9<2N3X!jpB@tUMjEmc;@Fcw+dnO`?XvG zLAu3)^lI1Q{^Xg^n)A3X0VB!g(LPQ4&r<2wj_1kpVZ$GmfbuE9;Sp_KqX_?nL8`f> zb?!{{S~0DxLPd8SO>33JCxR5T74gx zAX=NJN0si%@6>0<)OCWg0bwyodb&-sZCJy~hUDV!#iq#?yn2|NBy}&4U9ckrq;r;Q zfjybLLd{HldU=)h{J-LxHAUsEXlq&)-HWotjkEA({PWJ8&d?{tfQ_5X)b~$l`vP;X zsA_p6^_&s+zUz_two{9#H^YgERhD7zT4$w$zVy9%Wgi$X#2ZYI%5>Ivtk_~jn{p!X z_tu0-wL}n?YTpKKaX04JtUYWSxGV}^2j(a#Q90><=O)Ej#oP8;OV49}~zjD}{P^C!JZqSy2kU-b` zbGMdgtK@f65T0>aL!9vipBB2}z$(T*eiDx(FT-H(gb5Q-y*M{j_hg$?YSS`(NmRM(hh#@NXqjUQ_Ow`Ruc7L+tD-+x7P1b^ji%u{}D&aS}z# z-^Z5mkpBcey^i3dF&f~I)-sRTd_Hl9Jtq)C%;#YxbglEg%Y@RK65bvap9W`K4Csd? zxx`$tYSa{)X>y}4X6bH?`^+AbuH+%8nX8C{gmr{r@38@T<;j3&pLPPCslEJ2p|3Ou z@ZWcN>HOAN_2zaBcg$H{;6&RWyCxx#*Z_Xi_~H;1du^yhNTM@{7LC~ehf6pe927br zaPgJ(KNO;A`|O46Y{ONnE&^y{nUfsCJ76a0up~TJ5nz%yCSZVVvB=Lb2fR_;RZ>w@ zFBM4JeM83fsq#BA>!%imIj&D4L2`&}NfU{$#xzGANKov$HD4B-|4-h?2`}?4Nq$tR zLb7gwKICZU92KA2fe!kkG#HBB(wS&)XS8#d7HS0_JmpnApM3^>|H*=)xacCP;k%=_ zV1b)Me3W0RkY&Ku3J=^x@q-Qjqt}%ou%SPEx=4OHF8i6E@nMtNNVVgb3-5w0<8n zx~bPUga?jZb@sx8kck{ZvX3G`fhLe;sV3G=b};MGgKc|*&J@=@*naN0x&5*@V>Pt^_e8zZiY+);$j>$YPC|R_{mbD zgsHPf;)!7Ae&5y-?#(jVnR523DUc^Hk6&k2Nzo4w?Q(3tCKg|9A%l}|tMzAGtCOF8mua&PF!jaG9XgC! z?}Gq(D%8E|nmmyQx8kC;nmJ#}KnYfWrxq0`GAT`4>%0v~hIfHZ>TsE-o%et+ndd+u zMn7ntE^dcW`crfvz{F<0y4yw0`NG}2BMO-5Ng>wIx6Jf80di)m&C(v;-efs@dgtbf3tM!L8^c!5(pnz>=N=aJCzUI zjQ*fl`MLLaG*7u5MIHpBqi@Kn(iDe=%gH=iVs|-$PYiWL3nBSs z-^4np*^fnl?&Q)g6DJXvpjX!eGW#+EyxtTby6%tj4p@dM*6@*loOM}!vg(0<=36UO zWOc-xmw&8{KA)!Pg1T@Pm&`U?Vav6{@2A!^ZsCk^LqlyeM@(10WZUKdQc?cumzfPi zFGCPD^y1&Le-9AmWY0_gW_z zp>bk}Q^!|W$8gPzwnZssx#6bHrH8mf#SbD_b1I5@eqyeV&ek4a*I)d2>V%Wjg?VQc zTfV%tf<&_Bf4v=#Jk zyvi$^bD*H+|Il_;QEf$CyT;w!o#IZ56QsC9ad&HRx8Uv$E$&WncZXu7P+U{2Ee<7w z=kUftwqH#&e{-Ru`XF-d$bc4Q-jF#lbPe^5HaD@ zjOGxioJ}DnoSYY}vE?7T;?+;IfO3p!Z1oQzD-n&;pWJcl%WDGkNe^1fbH6BpYb8$c zwt8)vDQ0Ez7T;greP}kuQd;=6PejjuU>|W3iGia*#X*;iP0}V@5w@+fc)T!)bEY5qJ(!ge8*)sm%&|H~wSTC(%n^>B`4WlkZpD)f%)^vtVr*m+`S*##j8S&R+t$ z`S9i600($@#c{cEC+NN(Dia=F8^$&%A8FpT4EQ38ZM-TWm@&N?ICdwjefSG2dm$kp z{_DTw{SowRuVn{mtPcsi*fNOeTIP4rETMsiOo4)O-OQrIKj5hiUn83odVkkV|HFLv}ZwDtd1QjpCV%P%au*+V_N;~Y@ZC1&!rz&mH;UmHg#<%saBV1BKnLveB8L1wTDURMautX5FUw;s z)*)t*YElMCi0^(ij_N5lqR1J^@{BbC+&`bwR$Ywv{6_aVj#9Of-zv&~Fq9%2SHvi2 zDI?r|@{CUGBoE1Iw~q>+cAlf&Yv&FfNS%Fjy8ecK-C>h2@NHlCTNHFzpgo}w!yDeX znJszh0)e0S75F-DCu?D0C)v;Tvs}N24k_ol2;RyVXv)^gTU_*^j&cLEc2oH9Vk_5z zN|>mG!i<4Z09u3l6^a?UbqhiD<2l4&JX+1%ZrE}7^~C|WKAPN3*UTSJ&BB}`p_Gs5 z)9aJ#btXV4`@v+aIreIE4nfR+jS0^)y?LW}FzJ4DIejj@TqERF$Qpqny0l(N!1FaY z1}lRb{jspGc8_a|J4IvNQUn^LK}bqEph}1>RTL}4YAuJr&yg2 zyW^uJ9euy43i!=h=HmX2W;RcnLiJz%xc7TuxqVhk=@R~}r;?Dz+q%-Pss{^>26o!w zgKbx=owA5i0q2&KfcqAy+QOSC*iIjgsuS9po#^>4SnZUG^+F|%&G>~NX1p}nNSa)Z z+2V#NyCeuU9>kdfCwkg3Xm$W`>~1smL#Nyq{o5ZW44(4~{E$h#Ov6suvbY)Oe(XU8 z5kl4v=2JS+e}FAX0rw?d%uLZDzmn~9=cZ~#+(7HY%r+#TZ`n}an_#3*T>$I(2x`)` zIH%f7%l%202-Kf`&x6Sx%lFwCdy*p6>hiGx^z|~&xWngGr8JbDwsXRE@lRz~*#Mr7 z1oXKK!vDJ@W#IqQ65(Ti9|5-|OJiTOEzMH82&wEtui49Bn}MJdt-vCOLZNJ?9$y&r zEP<#>fIX=eVnvT;&lYtXju={x3`T0vvp95$(xtepFk?D)Qwuf2Wj`Z$fVtAl5rX>2WH7#X z%MgZnp!5wpuGKv=+$BwsZSHSl(coFsOzinUTL!@?dd5sk+Mz7H$WsT5ylAdCS1}D3 zZG;|_ge>Sln|7v&^m-?w{Lg3U7^xnW(RgkpD9vuv0&c%5QA4jZ0U7(>{vN%o9ZYV} z_e{F8)!bpgu;Ye5Jv-7MOEVzG_`l8yD#uLsVAIp#=(`*^*$|C%^Jy*K-@1;N*X8X# zi6OovFyFSmCzd?^=?h!By(_2GEZ7O`xMdvpF@9Ed3H_~&6QK2T$m-ebgN8$K0AsFS zQK_D?ELrssw0Y&k-4%F=9V)h zzN;(X4@9Zg&ugC2RmDew7ANyaGOG+oGRqnYK${NJbD z0Sb5s#n$z6EIsG_sm6G!oOs%#OOfTtIwp9_CU7R5v6(sp8A6er3L-C%HAGeoY+Ph zFkMF-q-D@hrYdl1j(vT2!76XKdD8eZh)&gqTC%}1UQhoAO*_*puKooi-u=%E_W7FO zveK^%B{QIu=p~mP6FJKfyYVY!>*1(?oD~XSWw%+c5_cI{zywL{`NcH=f*OxfbvbNV zgV6_Rr!e@SN}^n^Yr~JT*=yIuY4zF$`}WDZDD?x#(%M0jWqTc#H?kD@%aM4rHUJbK z!ay$OMx0d$&GIkdl4QJ&oIeG>vG&{cn){S`nSH_w4*x6g=DGmVDrZk|kZ2f9hKt}L zrkTeIq3q;3UWpK$q#odVMS3H+7d5 z8<1%ryh#6Bid+=ZZfY>#=X==sjdL@ROUx5MT4uvhDGX*qIJC`Wz{#OkY9=*{RpO+_iFo22|Xm<5An(w&!dg*kr1LLG9s zt6{Q*C0|m=`RpBtwV$Bm-VI^1w1UHh{f2&1z!af>&n0+ZN9c6Aeh*BIwJEi)MLj98 zfWOkfe-evk@MGKCsOIrcA>U^C!rG?r< zD9JHh{U{~ZMlvFG#O4IV@PYA|>8ZjzYz-0U+5$Uw2xwIf21|iW)9)Lo{^^DNl}GX2 zLw@pnYD?qbC6g9b0>P%1_*)4h6>dikYh=>}N)MB38Q@@JXNR@3g1N8F9m8*Fw^rs# z?#fs;>9e`>0MBJwIWTl-G7#+7_O(bZz~RfieKR*YeKm60`*k|~`z4NSnNMl)Is5nr zXY!cR=2>6uE_RTGW8grplke{mSwnuAS)7G8`*&Mw&y8ZE_K0Us;qZVga~Qwp!XmXy zjQI8`+#4?4jCMz62!6xQuFSO84ytxBpou=&H6^?>7oDbiTs)$qfd5X=ljkBzGly@U(jJTc;FEQqkAL1a8URY4C>AuZ zmY>nap`U(CrTiY!q$Q?XkNy5d?fJG5n0qhrRF3|q4OoQ^(l-kywcIYxp}K@MFhnKk z5~qRX;n2`rMygEff~3XW?vkVe7qf;3~gEZKdtEOYan$2|W#^w}JHOz>@m?`{y@-^Gz4tu}>Rl z_6p}~PQ#$_0JtaOUxnN7-?E(r&pEF9>Gn9?MfhlJTpK6bdIs;VCG>%G%9Va!W9SB} zjMZg~cs8^WUpW6WI(GY*guCD~2hB4^k7mJpF^unrqL_;+xMd+=P50%n5(I3(ACi zL+$As>a#mn!Qf|LjQEri9-V^D@_E!L=nAg}HViZ2^8f3-m=leFuHP4U1$W3#eerKD z>h*4kC$&H48O|V+MOH^9_DSj($qrD!fv30LZW2%m_pWyRW&3O*Fu_ms<%Q+XFMmF1 zA|k7?-sV4I_3zH!-8aAdtgi>>4a$5Ue7ugC@}6@QJJ_I4@Mlfi^No8b2~N*ZxdG+2 z_3kAk_vgiuTmm+J=j}eDcDLR=JfmiM?J5M_GWp-9E*Zl%YbI6WEbR#)TiCn;L%iw% z%S-rSF9nbKAo~47!ZMDy!{K0=5;2|MCvIm?bsb1w=GJt_x;|-h(xcxMX%?*~_5X7F zl+a16=>xk`P~tw_eX2#~+Hbth+P~z3eyz+h#1xLso_CJ4ZGA&U>|^C?j@Y#Dj!GvG zN^GA5b^WXV8vl^5^`I}!&yMO3MctoayV8g@;B1`zgIbGn6vCW61{Ff(x|es~3=&xY zX$GykNR=&SZg1A7OtO0_@mfAs5& zXS6&ZvBnt?3w~#&0$V%^t&8f z?{2?wWfr*445w+(4|h-(zxJe%Ib)Lw_X_2|Q1c9U1`S9p+C`>Mxum+ZqG9D~?&k;> z>N%C^k5xccS{|Xl7KIho*xnY;wxHW7x5qYd1F1?8*aF^{9@OQP`8QHKk<$wLiAm*l z#eBRqmR6P!uVhkW|JMaGhvxw+&+HuC>p#~l!m8>TAPQD}%7`GE^MrLQjsTjsl@u-{ zGE_IdTUC%KgcYSA!CLK!?^mOBikR4;O|~wsUG~ap=Cn5NU!R^0(d&YgRnK#7MWU^@ ztR6Orq~hDcq!7GEP)iS}&)MdpZgo`HT3Zj9EY9IA%ph3CpsxS+b8{6=q%0@zob%)6 zn&nmLNYpX%5GeiITv%VG_K}+Vvw{f66B-Tjq`NY_FqC_gYT4lzQwA`otf=x!O)F8W zC%9}BjDzOgD;1UzzK@{nGV$=U!n1qlR!!kV{7AHXv^QsD&Ji3piAFlPd$-iigu)}j z{IcE3*y;&}6Nzp2x%MtC93_7ctG=Iv`gi5M+ik! zrp_IugzMV}&etTH$ejK)&FpR^5O3j$-Ik&5CmQ zq*{y74)N}@rnIwlk27Gotubhl%mCu3-j}4yCLHe?BGk+rLn$(vFHUUFnFbquaSPm<|aWA?XYy0+?+qYqdn0+V`i~uo$4SF%DcE`FRdVK{gV} zd(_FlF8!o)Zk#2{LE7)@Qu)&g)WDyf{kW6xtM6U`gvdK3so9|MYEsBhC8YVFFK$a! zk6PV5{XWO$oh8U5d8EM>@+;Kx_}eL9ATW1o1tLt52^ zPu4uL#edlZ%AHsQl1b_A4eR^1;&z%sCSrT#QvJH2?kdZ#&hjeR z*1T@W$OtxipzQFaE*zXzp4MU!pNZ93QQh!rI0o7$J0=sG=-n}gZniwUPIwf2*P7^0 z0f#9z0A15%oln7qxLPh8SToLfj9>i=u*7B5KHrB)-r*DX?0%Kxj$aU$p#trJTN+C+ z^0S^-_c)xj6`f;vXE@&4n7D4}1J|{j^=In<1X(79Gb)D}m%^MQ0k#^dLf|q+6?3xW zSX9Mg|AN&xRLnQ}zwXK;nMx5yX`*l2f1!9mDRtMi&@ zEcBV0BZ1(G8+-j0A6e3C@0JtzXBLHG%Tjk(1`qTvtc5dV8#)c0LM3t|e)h6c+POXF5JxOd+M)Ye z40ks=eJjH&?Y*{Sl4w#@8Z=hqb3ZrFIh_e&4-w!z9N;#Sv~Bgl_vHhaXCPYPgrnK~ zjY%u&!p3y58W@;BD&HB-=iBLQXj*V+hy4k4y zzv{{jllt~buB^t$L^L%z6-09Xu53SOR+AKYV|j!^nf7NTf;Jox@lTz(*uj916C!W( zW>Ve#--D>CnUWa_oPxetk3{DO-*r^32GgWCdst52Ka_S?dWwJg{Vbh}wvu11yqzzn zqJMI`G_88PiJqhNjc5I55Qjf)&GNeq@0j=QE2Lra^qSK}RsKxQFv~zegNp`si@N*t zJ!&6OeBE;4h1EHdfR)qxHyB&K_4LnSGYTFUXmpz7>(0#qk7$`f7=$-TC?V%I;obM2og zq4Vw^`Y?B*j5d~l=^kDP1R26l3`0K{Slq^)p)<3kky5N8N&SKSTSD8r@9Vh5#QQ-G zwtGC0Xa@u}yy@bcF>U~Qk*7YM%Dus&=u{UZt%V>jt-XJ~T+SK6W_-O9X20lI-sRbf zqC;zYAXF@(`Wy+G4=p4!$D?G=9giA{jZ5yw4OhyuYTt-EJpRQ3M&5M0c**@rXEL^h z=A3{^_KFEGVQn+ZtO!eIIXM~b><&E`F8Kx6_NEYDMgywWt+3mjsrpT=C4*6O&5Ag* zSE+TdWdv{O0L-_;86Yj&QguOCdsqxn^Y#(qG{o-2=Z{sg#1Ck9*OBlFE?xA@rvVPS z`>9-4PA0Q_e_pI^*q`leJl#cPfph$J^Nx3Y`pwd)2F~*z7kFQWccAsfr_TlD54-yW zdMbwO;{Le~EdZY^sw@oqmGt~Z9B1~EfYTlh5gBsAzESp2VRi=9{%v5V$S@WHQk1zc z%@K2p!SBPbVa3bXhhYCIt`@~u@>dm(kNDCfY6Mq!$8BE%&{sKBuFd{Xg@cG@ZnyPe z;fwfAjCJL#yJX?Z3V91jR?|X*_6Okfw~UD~=&D3JU|7|$>1Ng~A2>(6m}t|}$A{-9 zQuU?n3)mUmSU+^shm~ry=DptVbz6?XckkPCt#0PnzG`b_U0-8)K{UpPQ_vqH**xsk2Jk~iYHY>PH8}dJu zN=vHNYg?5RRy#E?C$Hh9tSJ7B0(($qTaJ~I95wC`&7ow<{u!F~%}=KEK{kMd8|MEU zo6Xgbsv*zZ62I&CS?*JW!}2Tj@&RGATDQu3dHs9887!_-E^frO1rzy?7mn%;tu*qu z`#VT;SGg`s39yuF%1~H}MUW=u1fY;vZG2x2``9Fzxe#oJ5d62E8lEaiG{JZ{i;u=i zVVC9>?eQ74Kd{DtN@2pl^1kJ?KSVF8?Uq#1jPJW@?OjPMIvOj}u-B2mJTuNNmzI>d ziNm<>mR#bzbE%w_aa|(EM@?)mq&EkV*cUyKHmLZo7RyXorS}!X8T4b}Ot3zpNO(avZRMOtE`K3N zMFW)&)sC(%kpb_sQ2yZ>Mz=9Sh?=s+WmkII=~3}=KSPbL!9^+pcHU_A-SVNg)9bb> zkd-v0-np@P6(*Ba`_>lVn*kmeQ^l166XtTu+OV=DAmXETrd?C`v7gp$k+m3Q#~J;e z?i-6UdKV^aTu2D{S369Sae1WDI;*X+J2l%#T&(W7Qf@L5ZPUsSQ;-Vf29|ejqQ}WQ z8XulqG*Bwe9G%3_YyJTob%0DzQ-E#g*u13A1q)8!EpJg0E9+lZyy-DJ*~GtAR}Ow0PDuE~$Q% zqqHY{%BYj={Q}cy(iZHpCVyPy%9dgnvlVc6a|@b~2)nys;WGR8RZfiBqO&2P1L}8= zXO!w#{X{0a%OmN#jsct5KhNGE5*nuhRMmvm0rAt zN^C!Dp-*EQaQqs{7DSdD&=>Tl_mpGNpmjuvuI{<#&>Sk(Bsxdih1mDb?2`0b!4Unk z@g?Ae!L-LO?vnS}^Sk+>d_?Ra;8nEd^;=v6-M_Yh0?mR~^hrng^J%xrBB_J#jvF1T zvl2|Iaj7tLXz;1C3h<~N+I!;wZfhGcyK(fFfB_-QGnB&8)WA1ekaKlFIviht2#SRmz~gIG@aDZ zL$t~2TSi4SQ^ae7PgQbWCa`wsveI@1bmM~7yhM1cXKCzZTt7SvS6@%OH68v-ihT(8ly9!emz->+!0WsMv0 zK2(Z2mFd`cOdli59S)2&`s5HOs+p-IY2uVl*e=rRb zI=(pba|ewk+O<{{ihp~gmrz~PdS|QV?77xI)u{ala;IE9y~u$!rM2rO+RRSfkr^~8 zzZA*jz)WF=3GeAM?U!Gw0v?2(_%!4s_mp9OTl}l!78een(m&h07rVXNiQ!TdA~_} zl^*3OisZTLr#{_QqB@s*oChfJ&7TgCWzf$^xcA6Sflj;Q>@iZ_u;-QoarPA_^vk_L z5>CP1?cNh?!xh54E&~qE*ONDJ@ z{rK=*u#d=PlBBx{_K%w^2@ZaC=VX!nkfdqpeg8gz>xqJpnvY~x z+MFHZ)eLVm#3E<`9duqVdKO%S5ynl!9(4Cr{yMOqBT;RgPXg)j_E)`?Fe+MbWU%)B zd^y++l0ol{Y3{v`j0j}HGZbe*UbZicx!Xt+(%JA=#CNK%`Fxsdkm{5?y(qC1;`+AC z;J9s`Z9VUflIZQsc#Qzz>be2Y-;2U!{*qD3T50kj@5~3#%Oy&p3{3&hUEx{y?&t07 zLFG(MgJ0R%UM)i)0_Kk2O3eVJK58RZMO@>!NNV2U@ieQRh|E)0SVd$>D(BasEu)b z-~}rhD`%=yNZs<8Jeur1dt{CJxBW^UfsxN#V<-XPXa?6qldh-ELrk96u3x`fk&yl= z5qam)X)m}?34)5Krg*U!R$OT&KsK{wdOVxTBLRdN9v_^t}& z+WpEpY%_rDgf>rIM`G^tF_84*uPaH;1JY0wr7gz+`OS%Xz)rcJ>htLxi6O?HmCqh; z-9B!~Wzg8M@2*9S`BdyF@FGW6^GHkBXZIa?ZN}Yeiq!y+dq$2kIGx9vA)*x4WIKA5@o_ZZP$lPB?jE~bGMmE z%WKT}f~-m3TBur|p%+5V>V9RI8ad~k0Mij2Pg9${JP>=8zcfE*ZZYFIf(|3 zonM*X+#7~OvPflC!USLn%FyW6h=zmI+l18%SYH{zq_Lf8*+M{RvM-$3oBW`KAyI~X zow@z2m&gqp(@IbLT>!&89D*9q@MyF4ooI19&ck|_p|0~>DG^}*;mkN$%I6JS#j-R= z+iz4{noskWaxgf6I<9l8w2?5@nvKqvsjqja>;{ij<4^AKw6B5^@Xvl(GA2}WsC@)C zW*bw)L2FGpJs>zrP~q($>DdEvLqJQf)ufOvs@-ooTc^rz%WVYAWTT|`!^4k6Nt{*{ z>jGpwnIJaJuEEf9bx-;{hnc;H9g)7M{kuFYe%*R8ugs)YZU~^r1eCW{&Z2aDRYGd$<@I|eL(xPG8_>L=T++{=^&8~Z zbsjHh<%L}s3AVgTB;j}t{X~doC`2;JUEcz*+8nP<_G!3}D`QYsa`eIp>YaJ3b^VZ< z>$Khun@nC}S*Jfw$;8qTd%M&$Abq&TN;eBCQoSXvY6!B4O}gVwk~yz|G3#(-oMwrW zJ|g=FvcTo*KV4kd5Lgm`rev|+~C zcYobsuf1o)hm+;M%yINeggL6!vK>U^h3E`kHI3C}F3(3v(N!>4 z#FaHx-n$}`r%&oeuYzI_#B@KTK8hf+V*w6+{!F7MTGnf;kc{g5@e~OiyyX+{lL%rX zdUfpz&*r55d**PN(Y%XQl@-W!%wM;J&ew@*ybtOKp6>>w^qLyFPy*TWRNV;-c;EAk zZf9cC?{7zc?!uY|uK;OJQj;QCd6sR1ho|P55hA!}(@!&;}Noim;b=WT&xyYYql$XVwwpn$v&Ku|#cuqhQ zXFZv-@R5Kbdz}w^`4v!Gy+QCcrmB_*yKP3dogoLS6%GK0PJnJI14s;^<-;BB4Mu& zPcfO+*7|duT~$W@-(q}6hMM@_YDB5-8X$6Dx6dxux7MD*D3gD>f6@WQ3F6FU?zrwr z2KAm9I}K3kctzyMCQOzE>AHx%`>tY4>%V&!PTjWD zmN8_jwAjAq`ZvdH@|9L^<%hL|cJa~~}^hX`-OEP0S~AN_yND6C(0 zr~vT5eW(&N2*-N`L0quQXPFZdcOBA0x(C?b;G;XXc1ZS#N) z(_Wz9Vb9opU=+XuN6I?Cx&T(7YpFAGn(2%eYm*YG*>xqtv$hR&n3XLd0k^HpTHbm9 z8*&j@8|`x7Mr=vf$z-w9w`^nC2*#kH~xhpNMWvJiF$A)=btzj8Fg#Qy1ZJNtV zKlTxGLktT?d_(7YHp6+@{a$DS*XSI_4{b4zk6 zOW6D6`HmayeYO4B@s1^^MIUvxv;#U%M;Z*0HejCxyejERLZjZ|B2YVwwcjQb_Sm7; zLerpJgooL5j=6#|bf!nBs;?B;X8=)HO8TEw@(AC2;V8uG&T$1$LNnO3_2ez)^if({ z{<3n;j3k~YKBvUlu~-TR59qs2^Pa3)kps*Y+ml6`pSTY_DNR`%<<}E8ws6S1DWx{o zSN$&gmaiavQ8pEeouxK%BP|u(iJMhRj}VVY=2MO@4=_x8nl*9XnJn}3>mKD2j1e=m zs)nK2_i>`|U}a(RgW|HV_Ow4Od89V|m+$e#A0MvrU|}zpFX}$B;HjrBSYXVm;JFV` zT1|<6CBb9eqY&-fI0V?NXVDp@pkm~s^nk~@Sb|Gvi9>$@o9D{j{iG88SxIE_9sL$Z zi@^o@1+Nm;Uvfyf(H>00@}`%OFBYVCgY`lIU};NEhXEtSsH+}WLi^w!tC8Tn9Nl=Pt#+6V3ER2E3~4Y z|L)knV!w4g<5=Zj3xF;!P@?a^&Pu4ZVI)e z^$hJMu@rPw^LnsY_ZV+n6PEB&wfHz&BBXG`Bfe z$MWdlilUidwaTcTZM1^7Y7WVwi>>Kzk(fv}ig{-uHp~xJ^4gfB^5BYdAJ%sPafcf~ z*1cHBi2L+E;!OhppIqG=m~k*KZNNN^QYiuDpGend<&UB3nZd8$|<$hQqbf zpTYQ=iNfCCxdyU4>}%SU_Hix5Z|oSh0%NAw#eW}<$nk5}oOX3>&hq#M?{l`kg*2#B zO^tmQIo)ABfb zM2lqj{n>}4b5~K&wbcRMS>o4%+^az7=Odiom$2ziz!wmJCxU+Yk(?{r7IvyFq!=%* zA6jh-qJRM&xkAXzxw4173tP<{XujYpb;>1B8?w{>SUx-6j*Q@Hj%7gk3d;Eh&wAXx zdjI)xqTmZsjsI^rv?EIfukM-#&)IgB(Yx*yI80tSx9-)B+xi(?QocDC4>U~)sN zt5Dy&Y#`DkL&}ivD*iE}6LO{A%4-5~)zjD((y$-b&u~&|oBqb5f6De|L7Jh1&x^Mv z1VITtyh-0^q7NT&Tqma0l#0x*Gb_&REA^!)puIS%^D65{2SQ}A-lUeH4lzTxPP|d; z0hGMIoY9Q!Vw@6%caxWVMd>?d`L{N@Zk76NtB3;iVU5}*(uS*l5Op5dknCFYq4rM3 z!}OvoBFPrks9AN(SbeC!(B~42q=}uKR*|~6W&P}Asg`meFSKphtORj;ecu`w5kohX z1Qx0Q^N!>6<>XW6xw7!Tw`V`JXAi~_VeBzSQxpXB5JRr)e>ar0vV*$#27uX#gGp@< zu_TXAaXGCz09MLl&tF+rKwW5_LLejha~W>$qQ-Z8mh0tgWU3CSp%_yu3c4&=70nWO zue{j9Z~%54CIY=kQ)YWAVSK8L)MlIeogsd(5{I3ZhO9-LR)j=J&ePj%Cxk+7s7fn} zpS!H(%3|RWgnqMXie{gZp}1f=Ep#23$fHFIG92s#A00k+)67(#Trm%A8y}+(k zSZRSe*7%6*S>s8$WY0fsVu)TZ+?S^h1?T9|SP1~>gmEv-Xx_!(B$k^$AMbW;b^%`V zuID7}AmdN?C;~QZRx8AvxbL#W%eV+TE@RmckWM9QUmK9jL~fI&5%&b5ixKy1EmRN1 zum%=mB!Bp1X$doXe+p#%X$S64Bh4z2T_kJo5i#I+QpcDewM1oJBo(=!Kj-`qrtN3g zHBb**>O5v_KNhg6z8ZrEMGn2~6v zH|>ncGgq)b0n^f=QzVVq1)3Y}X*ptSMS6PogfBqc%FMETk4vV93+OnCt7ji1q z7|kNS)Vc}J@=gmv>$3g~<;PD(5kjcNW+8(&kDxOmSlT3U&YaGq32=s0HS z8K;Xv$J>g{87G1h%K_BxT_`D}>9lU!mPskF=F;&Td7(tzLd zMy2!w=KlPr0j&FL)g=_mk^35p6M7@D3+C=jR%guv_*ugFN0fwiMzl7AW{jt?EKPB$ z24rI@3W2jFe|06QH_NlGd|UJEC}D&F3h#;E+a2sc-(K4bhmVjyh^SwrSk<83^I(F&zyD!t46P#Tj>OPz?E z`P@*1DA_fYi`^RX7--#p`Af@~ywr&bbL!m*gB5*hE7zR%2ceum&?2f1D|W$|7rLw$ z4x2?KPZpCD=*oEW!i)&A6?{~*^#ml4cbssipjWhQDcRARpzf7};?Eu%u)g{RnVA4rwVnI+({@~le;U$Ep)|g2tPD^Xd^hd z%?zUpzyB*EUCM40s@N6aj}LJz6V8EqUbz0`S9=A*3Z8|3X%7EYN^kxHaX8`W_rAus zQJ=Q#<0$xch=$vf3!K(9P z{zM7`;{WWLKN~<&EiOI8u#qkLl5LxSI$8kt^1(YJb|>3ue#lgCfZ#joy_eCjFaydj z`0i4D=V0jZad+}A9vr`+(6z&a)})=Nljt_|91wh~fHd|kZrVbTvm@r*^%hLRs(`md z*HE-aHO+Z*TLP!VVisobkJ6S>4fm z6^RBJR~gWJ{uqnOye=JBe1%V7;-Rh^xB?N0hn#2PTdho!1%gqRfNd?I!$>}>54sb$ z=8J+3l!W>#VO|;G@(k{3)$UUYC0kD?*5rDGg5N29)as`p)sBR}XXIk!PPxmprMH%^ zT*jM-5*$FIAhr{Bdz>7`1C5Yn4A9BbK(uW36^;WE&h$tk>6DIF3I^)=Jhsu;pj`f= z(K)$G-F@!8lGla!1~OhI=spV(#~c*>p!X(%=I(el7oS{CM6=06JvES3^f~WdUPscw zhY>NMkIX;~YT#O@(tc2|*DBBOx}Rr}g{x94eP?K?en4YOzSe#5RFipQ25FNd9YY*FtQZCZ zN2-Q(zu&sw`UzOxh@1&)3p*vO69v*7RzP*hiNwLEuOUT|kiP*}r?pq5s%X8U*K8z| z4^EO2*FZGI+whiW+MpG7IjGoapilVVa;-d78%6p9={V0g5d>P*S>?4@SdpiR;DdpalNr5-?KqJizoY$qec zO8okEw24}$a;d44Xphuo7Wab)Rub8N7~4*5A0(>0PV}kicWJa;2`jY}DCO)Qj1T2nC_ounr z<_291#vYw==K~%$y2*2&9oRcvEd&ek`TnI5!q%7i(Bu;J6@x1B>hM%tPvebw_?FIr zhV;@`yS0|bC2TdDPyxxwETKB<+@2{ zQ2<)&?{IVHge>J6@^>PqHXiCPYKB?X*VBVho(?8&?-rzTk3JHEp4~AJKVecf_UuTQ zfYG~tA1Moa)k8Vn&Hs2JyV+lOvhzhgrd3^`3!n&e;2+?Hw*Xbeo38dp0T+i*;_m(o z3+mdu$wc@Byp0j_R9BB6B^!`+c_wSW=ekd} z#M8BxscUg=F#L-?!PVgM%GOx%_`&?*)*H)2L#XW$0o)DP2m`Fp5Oqi;@ic|8nPYAn z9n%)Bd<(c{3iS3x=?1zpl1t~gJowe7XS;SxTfbW74{gDM9Qh-E=7275(971Mx}x>y z{7@b_Z90YS?2$c06r&%orUxR?EQ5WP8}I`9X_S*$g|;>7fz2U#FN2w zsrL~&HB8@Rx9&yGE z0@V8xkj;CHz)~kZ_*FD@GGDO){9w5v+A{J&M)$jqAR9Kn4<_5qT92jfT>jbbpsgs& zOHxxF;vRi-^N&ZQhMPk}aDXi+N*BR>mUKshR`D;3*YXilHNvszNFO5wdZ`XdaqTGM z=q~##S*^zO&m9&YFsuEhFA~#F#M0*4P~PzBXZJp&y4c6v3w)_M?r4pB*U8Bnfxzn5 z;`20`9}_9#3MOL8(_YXzWf~F1H%$VJLQV?L8_}3NrLL!hrj3xsY)rl01;;bCHdP=& z6pwF{CKdt9{6;2zOV~P~s~D*o@Iy<=|MxFBTEzP-tv$;|o?%&@1J38jup00t(S@)P zhpvj0z32)96+?y}YV`C01IZ$>OpoxgDC|dg`#xDwXvU;O7bEeiF93sJ-ITfxCafI! z-is?eldOCtXsk5(HjT_Cis);3ix+DF5g%W)Xq`aGdZg?x%Wvqvv7u2Rpu5lRai#P8 zNF=CZEjVzpIlY@0oNprR6dR_1awL&P{A#~ag-WY5hck{11L!1p)KjLa>jxMUg1I0{Kxsu!3B7(|dR#jv~-~ zQfJ!dA;hU&;q*R=1sJ*YFccq)mCuA0uk}#OZH{osbk{Jkw42NuORnG00ir585pC)r z6?|+^B$Vg0MP-3)#WqN*5m@@+|FHI!L2X9c8!zq-!HP=>Zf$XbdvR-#P^3VicyS2s zQXGm?+^s;11d2;>DHL~iha@-W-1*O(&v)*}y=V6OP9}RLd#|;g-=pZeaL-UR!rmIf zU<~>^1_}|QKvugY>VC={q=_H=%f0x?kaS?9bSVr*WW>ZjE@UyqO-a69Or3|e)O=Gw zdG+iTxQN*PBMTc3V^yG(a>^eGJERE-gS`D!;W93p#eHa zw1SM(d3&=kkXPg{>t9qPmR_1lCJUhm3yu>KWbfO2_l42k8#Cvxt^r<3LDB8cIJyH3p`7N62^as;W- zGj}K96r5N}Y#bucDxu&iFssJb6w6brwoY4%&J6iwOm#ezZ&r^Ssb>AZqsZ@G0nC;C zYnXHqO`7q5=txbw5a!>61k^e?Uj#I7uI?Cl)v35V3pLj~pY{lSZ|ud#O>ZE_o3S-c zSDpcDy3LUjQ5&rV>>w` za4PRTpTzA-5qT5sPV`BR4Mr?YO3n@eYduyi<_Gw8c=)e8C|5(6U!?yyMA~AXx<=+q ze23+K@%89{`n-C}mPXY$?w^-1S7s};LAqYGHg7+4$qiXJc*^Vi9_MOm){(#q_9S-s z8%rRr^{fz2MD2z(?|n3xh0&eD#nbHB*LgK@N|;M+>Ly|;7jWPg`92y*Ice#o50$=p`jgK;s$E~^a)s=9%UpRZ28Yb#WqkM(r>}VsIk5AV0mz8z+4BN5 zIS}A`K%AbX<-Ms*4RnjO3dD9DAjbqYb?jA>qzI-v>GVd49pLD!u$bK!Q-9;`NbF-qkgg{rW89qPz2BpptH zDWA}$ZHm_@)u=ANroJ<^CR{2ml|Wr*sC+ZfJO;PyCh2S=RJiIO@m!w zjq!z z4u-!uKlbQF7%+iIxrr$eE9Ppd54jzj<*{FS5}p?^)eRqVQ~!S+C587U21{c_V8nm# zFTb8?-^=ECgDBDhV(HA58|I44>R;XTipgk1A=Nw5xjp?=8_f114yTnVL4{Wa`t zw-ZVx<{>~R5P%R|BGF#{>tB(JIK1W0WC$hmI_am%vc4h;z}CBPA1RP@t6jhPa8>K4 zAu`i&y$|viXEe?yL{>!h+HLK80VZDC3`+?dz%C=Mz;XLY;$9*%wHY@cOIy|jhoQs0*d3s*j~v+e7Mp&i+*aJ#(#FCS-*#Hhmygl zYmqpnljh_|IMn}GP+iL8K_31^xp*Of?aNMlJ}2$N+0E@^D{-T4NpQhx7C!GUAsLU< z*Rp~*-(QxpE4T_zDD0vCr{ecFbzA`Wn%TAsBRB9q?<*N43e4e$+&lF@KNfyaM0t9s z9J~yR(Rul1V5Go0f+*`KD~)>OgEQMtQ|=@e|AY8G?Y^0T6{C)9w$U- za?hmw>v;R-(JK2W6CnU>zmPlt14GHAAynk9F<%$VYF;tZG;!BhIlKz1Bqk#LyJX(3+)YJF$sc8K4 zp(JUgqBiyJb6-=E9j$pwOl*ebggm>r{GK6C=AIm%x9S_qmtPru=iOs%)1XEI>}AmW zNY81(U(>|JBQf=aK68*}wT)_mPSoCYO#RMZt3hlANr-M+PFCGa*OCm64K>h(IU+j# z?iVcWRYt;+OgzZp4X{TkaQ>ZaYSpuQV>|s;Hd+pm6HE;LM*!%WRfmHS#f@ciz;b#K z_C}?hA_CIHMajZaRsu#37l`hQ1gIf@{vxu;(0+MEc&QL9nM3ucEJL|&MuXhVe(p*H z2g=P4H_3AP>pLoE_Glf!|HdQC1-~$$fgrpm1q+NrA+M(&`F4xhU$PdBF&!@SqraE& zjL3K;i_~w>+J$*obACL$NsWH@f6-812gX#CN@N!zw8ix@*UDN4Fj*0teMNSxzdgfQ zTv*FcG&=XAx-}<=@ESq}R2d&+$afF*!}jNLi~!%jynniMhGmIiju%cpH6v`X(KWZE zFc_ZzyJys?@4uo}gCD+je!2S0FzwW#h-I_)@x7|GCu5D|`3Qcg3c%|g;#?MV6_I`#M)8a* zyb}4UE5yWE{6v7GJw8!up96o_WX+!0BLPmrnfdf-;N6heZQ^Q0n)=biJdhYh9nw7^ zZJ?!A3|Zi2>pfqO4q_bmst*&+FZE#ckAD7gE|UN<`?tPUMZ6gAH~h3MVV#_MJ6hQ{HL0J)B(^_rd~A8&uG9 z%!YEhrpLNH)S%6OE%oxW0dYTxS=4&J5%}GKZrtg(x%Lz9+JjW;YV(@8zaFB$6vg*Q zMK~&BVb>b+V5$Grx4SLeW9U|kPnqmLj^%#QlG7soaG2F zZ1n{f^;vCNXtAL-H?|rWAj0`=rWV6{3c_TR%oL)?vT3TS7|E( zGM4|&*9Lw4wIzRg~ruE z4AT*KLKOIlboSkxfXjY`1zsJZnM0aH`ZXg5CyLjI(2+vdr)AW;J91ncRdDs0GFphZ z$aR(oQzhdaLZCW`IpbrT7T1(cZMmBBZr2nA??=*|##7I5HoAHqD9YdE<14V6ELFzQ z+A?ePes?(jANE{o-ps`6Zon2F(e{T`k(cKZ;Krv?J=|{aT zER22g444-8gDe$|LCTkkaZtD{A&)}^15zMo`Yfy3p@r{Cx0T4YOnPSnMUN};m1#|i zOsEK|LHzijonCw?KVRvfH5D0~?ym~5cCf*Vt@0N|dW5T*-1@~xo2EWJ-i;!}mf^D% zOc50;|HlI0xXIsAyCHf$e0`t4Dz#8fT6*zK(DX`WdCB*f<#!J<;#JSp1n? z{Gn~Lk(&T|kq-K{zwmcLpsCd=oXiLA7&XMjj6qG|WnPM*(#MVc*@kR`NW>z3zg^7A z1k^oLi{Zc?9*j65M)uR8<(HVug4ERFI+fUYrRYkeP9?MywhRlL@R&;$X3CWU2xrmO zeqQQ)Yr5#1g)Gv0{d`Z9KM*E6^CnNS$^{xH%Ym2-7TC{Z*8RR<<~^mii^yMlQoQ=? zqrTyIFMyT3-EnpLv}6B%AYN1P!p~mYDNqyZ+j*px{9`$yaPSK{#j~R!?Od(r?0Mn+ zWlBT%jbzJ!TEefig7;IB!|A#NYAY7~tw|BX@>&CZ_miB|jgK?)@2;H>0{{Fu$J)V; zJT7x9w4r`;*75HFA&Je)BKLL)sX-@Dk+SU#{dmwzj zRIo~}nn3EU4?whAjhpM+ceq>`u0#0r72v>NiARs>dcs|4R^<8VcfbR)aX8{T-w#c59v?2QK2r;i^?X8C50N+P0qA2Qt*9M!OF=_0;b@q zV(E~JF8yW70xSUBKqj9`A05KU%#%CwOggM8R}KaXn$0h~9{+j0*;sHMz|amJX-$ho z9&x(v$D%&b3B7K9Ux=}Hxj+H#**F3jIoPKc;7_|+D2pI499*Ucy(_zYonF`6g0^zs zaB)7JS;(7(QJ_C!dOg+Qe(cJ&m14oKIfVRFe61mLu}Vvvx=UTnW~+`Bh9;~DA=R6i zprpN)$J~ej5cg^wNHfEbf8K33tpGLaFSP_}k8BAC9%s7m_nYxNN~GB&sJT7P`yW4b ztMYS0wi&VQKXbCjaYGH!wp@YK-rY(8qzdL!MsULN=Uz&bGJN07&On0RuXGFEV}`n_ z(5&UXb)u{2+n4cjbD`Nn9rk*_*g;NNttD06Nv)gwX4z=e;kFV4Aw%0q%Y86m);3$= zW4<9Uw$f;H0wJ=8OwhOSMYCedU3V1J7;0RoU`ffojVtk-i((8q&pn1XB?QcCe>==>I!s z;(F$A&#AC}KsCn;L;UDGw|`T;=BxPqS~UNi)-N6L$`=;hW`Sh)zr0BtT5Me_tP^&? za%5I@I=G9yg(t54Ph+rwn(j=5W-Tu5TzOsa`}HjD6X1(hw5P}K(TW$=!+#x0n!)h7 zRgfe}J1E58zYMm%o73wQ{b3y|JYi%K|ReCs#-(j%G z?ocB2Wr!vyIFfs{h_?>!+0~?wAdYYZhthtKeX=wma)+`nePJrTnZrCmQG(IDAyjr) zLO>(k#`sWGGG@==LLu(OS}oRtGW@orw5gL5Z3YL;sLx`gAi!zv3qIv?Ee==LF~1JZ zB$v!~D){aDke03T0@z*zocruaI4$~Rj>dM{*=xgYebpnb@~-C!e%j+7R6{bybc*4^ z=7E61U{efZ1Nh`c`y&I59n1MhCW;ZKl!n~ydslhH4V76?>c&XBpuQrC=Eav6GHOmA2(Y^bZ&K8Dmd-l=EGlBqRtYX(CO&{!P&kV@JGhV2RYgc%iKD>+v}>V*T)` z3ny!al#`hy;7Y($l~~C0hb8(-gL;A}Vywz#>_xTwhpOSKBvciJL+l85)Y1BRyy{`c z;qp9P;|_JDfs|KwL-KT0wyDI3Yfj81yi#k{`aq2#w(M)P_j}jcbi_C+=;=)3gH>r-4Rgy|Pr$hxSP-QWOX=ueG zHRFKIh1$k-ZFc?t9TnZY%wQi2bjY8MzjvX3Ww}ESj1lh?pq0#fG4~tR;@0UUd?-L4~E^j6o+UYrF)BB22wK+@Eey!h3VqPw_Yv{0)fM5IS)Q z=C4CG*mrB9_7w`v7VBD3VeS6)rCgP9_Y42(sh$PMABE!SxHPI}e842JU&IRqx6v66 zX{#kKESE!n^!BC2OoMq!pywr^CVQC5ja9fVXFi-j(ht1rJiAt-{?4Epp@aaMF_ph` zBxH<<^B22>mfS|a$_lGcCJP5O)OvC6)Y+@M+X<^v=8zaP)b!zNC6xd9Dqann2rkI4 zfQh}WKiYHAZJd@KQ3$Ii8Gd_>ULcSii1NLPp0FUPZi9EZOuu)(jQBmI1teu5J9<1# z-TKxsNbZ*E5{!`_9c|okh4bNT;Fgg)j>~K%!w!LcMIWNO(HqdG=2?@-yTzo+0dtl* zwB0?kN>4CnjC0E$PCreUIjECQ>Dzn;Kb8-FN03(MMUJH!$UgaPL+yA!Kj^a1Dg{-N zTg<`f=Sy^DB}Y1+17PYWP{3DphaDT}vx!5(r4Qc^&}F4*yNGQDR`)&RpG)oN_fx2( zZ+QA(U$byN4O6!=LYep6?)jlRa&xYUOfUSQ<S38zpuYV$5~t-Q`DttGGK&2H(H70K#BZu8`87bhQ^Z~9s~PZ)~cs#6T>Mc+}V zewl$RdAW^C^CywCU-EZTm+U3qP9Ud`Yd-uYqH_@)MUlaB^8evJ`qfXv-F{p`LirZ6!@7o*ONr!Q($U5uNF3dPiF`l*Ljjc*AVN zt6Z#i* zroz^2hKkYalcOc`-&Y8@W{OA~&>-GcC&(ux-n{2nYu2oDPsoe@O$QR0GK{ z@yZe>Vp=TeFXCB*>TZ{;VcC?e&2dXIu}!#**3TrsTl+#)`nD{?8%LDNQojik%Hy8L z{KS-9nO9eh-u#3Javg~r1zDcp0f>B0K}g_~DqdB9OZI2ZH_OI?dQKH1!M`HKK%Bh< z70_#%c{4SL9X;tAV0Oq?ym_pWupRCRor0pOC44boj+crTO!W+gC|pvD9T-tU(pe7O zf`LWY(N`PW#{s`SiA3=pQK)^iJ2QhRe{lJ8QO(ensq}uqM*FuBx@svKgDB3~ZTe+4 zHu@I6+_{9ZlFUESsGKx7{lJT0m6s27UVShhB5y|*8((_}J9PC+*Z`iI&JY+4V#wVv z*?_KK{sS`8BNv!-##{BN6pz=q%rd1(i*%r!=WORvvp=Ru&}jcZKD+eOHW3;gcsEApp=F_%@$<-t!AeeKrlR2I+@C=_qTWcbd*F7H z(XPH!`!o3Vp?$fyqfp;>Ld?LgY6o=B>m=jbdg)Up5wKwH68K3~Di@BIPP}0WuWM5` zaZs!;jpl>F079mu{)nwa69g83t5ait5<(WLv0+#L3wJl{8l@};uW6w0)h5V;OG|gR zH=|WFRT*VXJ7VKJI5c(J{x`xRasZu>g7=g4Ik;fP96;~sO{E>|K%D>24zq>t z+@6>qW32>W)=yl@uJeev+-|L5e81h{7Fc;x$M_W$_&(qZ^PaU@$+?KBH$&>%u9-ZP z^PR~##oY09$i@(>?q9(rJ5>AdX>zLYT*RsiI=IZAY_?8t!F1e2+W;=7i=Ve88_8!D zAkH1~J#!!2O2=eOPEJu2I4fjPp76U8T;0HC?@D^&K=w4nNU!h%r zVUoCssDiZFI?=+l9!UwfM<@uFmi(caOjZCG3_Z7+s(lD#is0Uf3>?u~ zSZ7~1?wfn14^a)`=oW#~OeZts14cY7<_}4}Cm;4sN&aZwH#_k7H<^H*0UfaC=Fr(+ zz!Qf*^IpJuHYnewszzP^Yn6TXkfF3cBWCkDID=$C(x%$QCcY+XzwX%&Um%X;Ts^c= z=BKL zQfpN7jWLw@q6xS2N7&}gfH%2(6n?^MdZ4+WSY1VBRU&UXu9Ay5-gC76K;}oqQYG`c z{P~$9&=gH-_ri>Vl;9KZUj7^Er74~doRq+xem(b!{Vw=kJCs>0x%#%W=zQMUI~Rvy z7scY85?d|GE4rUC;>j5X0xiwxcfGX6H_070M}CP;2!F>%!R~!5cGw})l zzj1s0>Ji1n@~mRBC)W23CT_7bhsdQg5|gC_QrCme9;Lk>z2A9BOJ2XNkyJ-)?B#AW zw%wx9$Z(G^>ZF>@x{u_~Dg)a$YbUVjwR8Z~yp4Ia9!8h&@y@=6a`*d!U&zs_JL ztN`HDZZadVi3j>9L$PL=HB$i3qb4~lsx&$YR~yTE`cBD^wXNzf1N}Kh`V%{iIR;ehhmCuzc>RTZRlZD)m@I@*K&*D&ME#R{d}%bb{l2O zN<6j5A{>%}trCdX6abPEe>mSz=IMH{-S#Fu)7)hYrG0;lj`kPwJurO6A_~o8Gqk>V zE>D1)r$aI6m_#M{;LLhe-Gv1Um)OJ{w`nI$QtWq1UFMRX{JSYU2_bRI_HgZh1hz0P zgwTEwMR7+Ol!@*XQ+|X;zyG8aC(NxVAXw9^f3aL%?h?^E@$NlSsN8}%^2pkkmLJDQ ztYUBLHW`(=S@@h^$6JRoKeHaAfWM8+qBE))!L8{ccJH|YZ=xtZ%tm}EeXVjGl_Xyt zlteW9UHL;^GPUMUTiTK!w^vKoAA1|#PSC%w_tg@S}*Vh)ab~`eSNnBR@8e`*Ht)y zZpV^JGGK6+9A=`@0#PIcmm@w*;<1ZLU?wlut0@!`h6T;0c;Xay+`lDmKnwVelKOZ+ zG#6NKMdWgh{H$)+3BByZ<}|4rC`Dp7e*Ld?c9IYi^XUuz7+)V*nG>Skd(_1eIMZ94 z_{*g|<}@W~~)8YL}G=HOQy=&+7^4t8nO{?{225KApV0W100 zkaO7O`OhWKm$OrSd`11vUCa=;#X#*jq#T%5Culc%1f3FHhz&D_A!0^F{(x34D+Tqq zqwT^qh!g>DEY8!7a7AkYBL-=0@v@wxAW4Y+vhPCpi)Mwsu&kifsVP1ARWxQ+V$kJt zDRc9U_>;^29YDa|WHD+ee_JFcS~35jUIqNs!bps+P){W}K9A;$y|@xZ;h7Sm{j5vt zVA=~{L#-VQ8YAGOuRvCqTV}^1vjd-%YY;2M%X@xfXXY-&LtWf3*O@#*=Lb5h9sf3& zveI;UL<=Fmp}7E^cg97soCxSriy|Rh*OU3la%`&o^eZ*}`|o;I!3?wHR+oqpWx+lS z5Dc>`GDu+wELHoW%>qXf4a1)ZayZ8gs2SC9_5*7Z6GdH-)i^YAL_OB z95&HW0Xr@KcEGfF?vs2)OM}MOR-)I_tu^3 zG}fbtb{?XlN-Rtwr?uI_hC#PaY>0yEUNJxt1v)Mq_OC{xa12q?Tve=;Va}PkeQc)YpEpT*Bii%PKBm)(o&5c_YfX(7$4AEc<97~- z!Zp|;SMfXa3BD7PvDa{4%x?-kZ^NAty9%InLqu4Gkwb{GJ?ZoxtS{jW(kL?9bcqFI zJg^bj&-C^~Md4adEr*k+VEf_>5v}+M3copPJgosTU<8<;bNZ34=YM$YBQA#X zif=?j2&?tX3lAoHZ?l%HS$pcJ;j&$1ySPTR-F8e_O*T4m0b2kLtR1d}(Hx+$tYjf3 zalwmbIt+7m<$L>OgTc-!-^q!tU!>WQ$J-r+k!@sgJS^%Pm!+J1b2@HvAxw z266f%DDy?Ul3*mg^GpsJet7wjCbbQ}b2`#`E*GguvWjsVB196FzO+@qGm|Gp^DCb} zYpeaPdMB3Z-|~rhFIai2K1jZ-D!}6b@uKB9W}SyX;inlRnIHl2C&d(7uDzT>fQ(by z@=*UBl{hR-b)-rwIxXWUp<}>b`D|SxTS!9?I2>%`^osN)aLki|uebgCkeFv7!EL&1 ztQ}PYf%6RIhf=S)&GwvJc-_9f={4hT0V+@g*Xg7BCjHwNsk!i@31ol{^}VxUWG1K& z6)Vq{SYIJDs{z@4^c{|S4mb2}Sic6_#K>}XxDjbT5clLc%G82QG0RwlVd{@BYS4z( zU&;EocQ%oygsBDlhn2#OWrJp;lLIe;Z#nBKz;4GmnaL?KHDTgxxk-j|!X(pN^d=ga zLRuvEU)df&Y@uYoko^FcUMh*+T^H^b=?uMG3Dd4$(+D<>a%UB)Os(*+kZKUgM0C|R zDk0k}2*v#w1f`#7jT%+YJCc#FJMwQkt_ME|$jVOnfA5r!W)S&|o?E9cYjwNN$=xA0kbKA{62wsv0BYG1Ifqn}d zN>di!=C0+cxF>>isveFWZP zRsMU0d%6TA?s9z^#pvpSC`{rGuaBQFxV}duvZ6GSl+!)pPPK%He=KBA#F(2m)_tuC zY2oSh*nqzjVSX3K&+(O(PjZ74?}{b%~*e`;G0C~OLMrLGJHS%Um7 z7<6Del~>`7m;@tpnY|XsqD3dl*K^cL^cbEONrEoKH$u-A`uol zZpT4-HZPMkM<-b`dnj4DkzIIl@SMa`YQd75UFdX@aNuh$F%908623N}GKv}l%yyWYS`_3mlTYfWMbY=WC;_Ds8T z=$C=;TJg%ip&JwWH(ryv(5Zx#6=N%iX!yaS25}ThWvyj0lZ?F|!9Ja53-*ZoH-C3+ z+^{8!(S70{X`AaM&Gs>Llcb}?8fl2l=*ov3#Y!LMBB2JZ10S-6pQrz2qOU8kj(!J<>=$5?b(qkzKw2BO zsQ7Z{kvqZTeMAb$?<1wj9`5#(6fSPO>if|@oU>w?7mXx_(4mEi6MWds_qUVA{SIpo zN9(*{QPy0Il_rx75D}#Vk~Ss_4TI|0g0=92{^-OZv(SkX>^R*L2}#;Mm5|O}z*`N` zk;yYr7SixvjdGlXO(KoDXsOtu!NTTPHnHgNZG2P*+iJsCiV!AZtIFF%S^GuZPrbos znMDb)*ZeKWo|YO>;Yzri_{^WR->^{c$#ef=I&Hl}OBmbGeL*C@G&&kKPD=+C5zd9T zvO*gX5}hP_bb1r{{0bO;buDote6!}+&Tu1tz!olQCZR|aN_`XhhYTZWc9_*UdthFy zl`mLQNlnw@=k$y8LBt3obLz%IHMPfbcwb96H1%D=R?(u1O(T+NQHyv@0O;{)+mF;e zHg=&MP8oS2s@nwL3ML?-7+EX`GyEXQ$?rK?T$Kjh{4r^V`)hKq^bx?1YN;gAT*8MI z!6pEJ(Dqw2t^8&cG4d;Q+|sv2y_AUmE+ee?g#Xs%K!AS5XtECD?gT^@7kG_)A^}a| z+AvN|?Z5oDflO@Qxk`0_DRbowb5)Slf^J52XUCGB=}SBVRnN z@h)ACux|W5_s)ZZiIr$xx>ReEwaES~LYOr?2-8OF^)jxwu})``$1w*udwICeh~(cc zel61E{AYVfH0J32aM~(0?rdj?8O?Ez=c>j*`}_|5!vADrjyu(_tN<&w*mx3b-2Em0 zU8>D8%eh39);(0~aV=m1ov%zW^pch))kobW=0QOG?YeQT_uQZL_CJWUrI_frQ2lgx zt9$=`CQ_VPd&$DGH-oifMWTanS|YmrgFjMPhy(rt>F}c#e5tnkFjE?o1so7UumZ7=iZq>~k-h*4{g zB~n#U;q;rI7$!b?D5vdwh>P^xY-U^au$&AeCq{xr0H_L1UBlsU?qqFIL#R;Jpi8$x zGO)=)QUD)+iH70`=l@)r!X+$TO5vn9KCnEgra@Kwmz?#Orgby{C{%eZZUCNkb~F3* zJ4(Fwt8F7$M-GVqb(iOAGJ);D(gJV5YM9&g4j}Av9sX*#Tia0xyL>; zn~~P#O%RJ4wMCcw!Lq`~o2-77$UO%$O7lL>x+2K~AC8?w4cJ0T7|9-{HAP0?@$_nd znTa{88RxSo7JGI1OzNE;oS#6O1@1V z#5*a6b~U|wr22<2^}Bz(En~mY^V%=MJv)`%c@RM2rU6B) zoiHewuC#s{HRjU=s{Wi8>zQ4T(J8f6Zm|;eU!@-V%Jri5gdyLMEo@7)IsqWZ0Y5B% zm_reGx&*EQa+7N!9{`y>o=iZ#pp9h&IqG4(6YxVP=3>U1ARrn**HFrwd#Jl$y|YArC(#uFW_zfJ&4a$&R-Scf=EqAWh?@6Nw8=Zq`FyqX2M=RE4UzQzjTcr zW=bg`YRw)0GmX@Wp3|p;xKqE!0@OA;4rQhcFD$h;SF3pIszwkoe_DSMIZ48mi)aTC zWv_w!|CT8pG>~Xuww^6vG>4gRd7tus8O}%70+^!g*}mBL$Be9F`U-bP*z3CuWFtgciG@$^PD z#y=(|-`LmU!OsugU+F)9Aqriq#W>Jcb66`*bUY5Q7?)2%C}ORYa%%oAd)*N7d}68&qFZ;i4L zA`>Uf`)m%t40O$Bmi}SQ%*EIx^w_qAB5xkC1D@QF+cy$cUR~@Eu2>{Cas6zXY2uR0 zpbq~$HRN|LxC(e1FtkjB3c-xutP>~UmtAw&MpH+@gB8KOq|OTp!SG0^2;4DuM14I% z9bU1q#m<|VlO@KP9-*I>3ra-&(ibWIgQVY$x*)K$W}>kA6e25XYx$D&>J{~;&upK# z!&MnfJf@m^+kMhierKxegbfRtCEF-}LBp0$ckumQIwISaZqg7N3?QLs6UD9cCN&^8 zV;Cwx9MExBh!WYv*gk%OP;aZpHEZS6J}<~5hd>Mlwj6dvg*-CCthlG!>!kA4N|JZg2Zo>G-b7x8XzFIV6@#Bq2iBh}UU$ul^0gEi-d7_)t#0<~BV@|^VUdEVc#bl0iJ5+$07Pn>p z-9Zd33ON^Q@?Z4DIAj3ERYasXNVh*lmyS$spC6RG`c0;ZZ8-j;&Tz~cx59{!&iR*lFay=F-8bg z%wlW;(O0~s3*|CYAJBiGq+QYcaPV=LDktzMOqB0JBe_^SQkeZIb+cA+K{@SzzFCjS z9bVY2yIZ#)v4qU5H?b@YgJkpPZ3B_Sz92M(-2I>u%Oj&R{}seTbqr7k{oFZO zl$DB-?b4Omfyg>>bO~@T=1V+6c55ZfBKG!Yjoe`ELMSFPWESc>@}vfWa|*|JG({+2 zPI(HiUQDWNgc~DVn7}r_P|q5Zkx;F33+O|g+Gcb>ud*~}^iUlsuQWPYj6P2ga`h^XS&RJ~|cnnPkj-z(W zX#{?6!LmA{oxywP8vN))Zm=@dSVeL?wq+{Ax5`47OM#FJ)MgmuhWrcthh)Mpq zR%9Mq3@;c@7`~ruvH2SHj#)mY3`2WVQ-wec{t2^|tj}9MPwKopfg8cF8y0)Es>*hz zAR%QMv61i3vkJ>`P;X}zOEk6DW-*s6#rGUt50PEmgM8)~Fj*?1sYLJMZ1FBj9RLTv zguZOPXHw|&EQb}4hWha{iDPSy@gEK#X2X$%^j%5cqo$rP`ggUianKOJoF(P>!y=l^m z>8j4qPDJ;5c0XHuI^2{%4ftcAHvdt!G&EN!;3;OPlP zInmtdSGG0P?M>TK!F3dwNaLu8h}8WL-a5N8rO=eR$(XN7X-3C(;kxIqJGT?ioCF-p ztf-siKv~(N1^yR9Ys0d~`)E4*moEa*_F2s8?aB(`0QJu-PJv8#W^gp|@ANJBlqVGb z^&##1L#$9Z3YM{L?)B^`%KfinX>8j=@cN_r-SUc4;OzF9nMcx5;tQJEQmogc!{NCb zw4V!iWRR?G)Pox#U?IHZ3A)|VGcSl4;gH1PC015CLZ95D(!No_(+GW3a1@i=oBey( z+AHDu$r-%Zv%oA-ZOj#jZW5HXE>ha#yFo0q_Ne99FVW@bTC526+EA<~G-|Lh2!1^M zmUeWx5i7VoNq3U}dD_t~QiP?x*tb+?oeK}pp0a`Q$f}!K zu4X=whlQPrp9}l-?8_|#qL+vbe7<_~(!0(;EpCjq$5?O+96IgAKLy!J+@E~evsU5d z`W;cx!e(1b?A-p$U-axsz|`4St+M4&0eG2|IbtG-S=zN7baUJQFnF*n!b&7IW2T1X z*EG&s^!_GOhR3nE9ir*jJ-achH>4jIS7OoJYLfsFugF!bx+y->o?@kkkgfV(aDoa% zn&l*tIa`xeebfv5!`*Rb&&oDyJ(M zehFM-@%jEd_rYbeWXebrCb3ek)Wh3fcHSN7$(>cu`em(~SXo-t)Vup}3Dtaukm&H2 zD;EA|Qdo5A1iGN9uMd>#Pyi*CPF#c^b(yGG;JugIT^J|%YofO`&l5av0qX%#+CkhL$)D-zr?FE#|I$3d}vk4EgzNn_u%|TLblAMCeE0G)_5a8QYlh({*xOroc|I z2tZ)OR7nllluQ}!2$Gmz~7Ja{L5$DtoL8z zUs4eeNjM(_HFt{WA7-p4-hVBEH+Qj%CU^J?gqJ?FTTK1u%d>b;Tz;0J`1OqGp(No* z#j}LAF;fS6y%6%%355ZKVQRHkZtAQpRO-KNgjoLG{e;xN2x$x{27Pz#G==-OJ?v&< zP!Hy(VcGCoxVz7gB``u21AiuMVnklrq4;B(n7C&cD*k_D{I5J>7_sGz5nF zrCuPK?mn)i(|hIaiQTQ{ur0~}ELzn^bksO)LJ1vuEay8p^*Pqy_ks!9KNKLjHQ~w^do$a0}pgd>k0AetyNognQaz%y2(;MTkm+E9h~hw#ex-% z1IFK~H@=X}8S0P-ym(=?}fX z@k=h_7TcV%I6+>sLJT2IEBsB1#k_{HW%kHvsiEADFC%r;jpjlaRPaVJc)9Z|E(A$p z&H|OP=W)K6Q(*;^ooFBa>$S}%Plq!vs03`y{%LIkT@$fa8jh;$?JUsf{&{IW%{jED zSqb%t(7k_Q_jbe zZ)&<^BQzrRYl2|@aP?x*Hw-EA757-YIyWe7JYv_Slw_ZXc7$6XQ2LSKZOyq@bXLzr zP=LFruP2b*tDlJA#_jv!rl<4mme(h-(upp+MM`x~wMm=o$!+x! zA$F?WeSY~9<|JN<#ZPnqU9(@Lf;f82j%jAjqk2&Ul)7ohZ(l@guDAp0+yorB(9Z~7 zy_1MM1+hf^7}vfxhm9roaVeC-IuLYJVnI(hcDWH}=?i+^r@Cx(wU>;YgT zRs+oxf?@(L`+y=>YjMg_ z78B7FcB^4aP)c7|TYe#?BGhXvJT;iaqw9++=gwETl8E0<(vX?S5*Eiu-JC->J0l5B zA%}Z<&5P!8{&j8%%i*g0nZH+K-_=YkB}#rfr!jUvOKYcps`^N~UtLUjxY3crYLGg1uBNy5)vWDNW_5%PT6m zcU*xug6m}93dmVjQvvMEKg3F^G$r?&>aoNS=Eook!x7z*Kim%E>i$)|1l}65C819{ zR~I$IH{TA}j)C%hfYMtVFNZW|`ZNh%RfQ{#ok=C2&2aviIs<-#(!0&}Jb%MS>wGhc zzN0PE{?}=&vd&=cY&^5nUNzolj`aGNL_O*#oy35MIZ|EsfoSL1KBgnQ44Bo#Cn^hO z|LRx;BLm1^DAYfjHeCU@rsm}86keGrNN{U(L87t`!8rUwsrHM&+7g52V_|oqDQ;dN z27n=wshPW&%M#9~Ub_znpl-~YYvXIHYZK$h()6QsT-&ysBA-+y`yBdH zIEaFa^ykQ1A4&8qo*35&SF96o)${RR+DBc^OT2#6iN@zi#!&z%e)~W&z)gPDP;zsy zu^Ae_31&oK36>Pt*?gyCi9G{MW8rRDD{iC5ia^KwlGgXvmk#@vmXPJ;b zRfe54<}*I?U6RfiHLFtGOc0NR&D9{@8rjp%ftpt>)d?GdBeTCcQw4u~arHYzbdw;& z6}VD95@2uYo(y?}=-at2acdYkuwkh3$&*5k;}qc=PfTXp%+uUH$jQE!~Ur`Y1?y4h`yPL z2cvCnf_j^LXHGLUEZxR6x{=KPhq$*4iz<5Gg;6A=C8R-+Mp{xr91-aTk!}ekr38kO zE@9}7p*y4*8ipP~y1P4Pn3==x|2ya7d9U}&d%Yj`ifiw+_Py#^_x(ImcUynHw637X z2!Q&pc;~;ivXbSMW;jP-oroW+H-RAKXp50&!+y$PCB|*{I;ZL(EvXE2yg(`OcNfE) z+`?ydO*n76G0ayZPe^$)GJO{Ui>9K$mR#c?M_y>gHaP;!O-?M(d#r&;QoQ$&Z`1jQ zno9|w12}79?8b}ScmwIPvKU@{s_wUE&TL{nmdfH6S%^a0pjSM(AO{;bL}DHTCDd z;3>=TpN1t&hLv?{@<368+O(Y|bqsLM<=Z|PoU^ju)RAhPPQK5_ZP&jHE?CoQ4p(aZ08vyK^+-X%hG-|>z%iKJnrR>w`Oa)5dFiB z$GOs-Cuz+?O|PH(SZq^n>SW23IMd?eT9ouc>CY#n$CHY)eKeb_wT*^vVzbDMY@E^i zzoyJ%daaj~e1O-$mGmo?j@+pC5jq6|KQ2Y@H*iB;1n)uC99}5k)@_4mD3{j~dM1`3 z@yOC;2O=%jgg#cc{&{f!y)a6kt)ruIx6jSx)2=!%-qA(GL+f3LW>@;fU>xL+*3A%c zie@=Zk;~b^>Ob;dXl zsO;50@p@G}T_xSE2@6lEKB=wv6$@gmB9_UcoO7#9kL9O0ohlR#F5=tA!OzNaW29ba zeJ#>_U)BOu9dWD1=fTqNawh$i5mf5PJ-j2*D073W4~w6ts-1id)CrXi& za^52IYY|WC;N9w(ZGu6c?^6ZrktW?yVVshFV9W?yxtdpHe*tCBu9f$Wo_dGBdOx|& znQK|G(15)7F6;A}v#a=()VQKJYvfYILEpt&wkKu-_qefJcZ#V1<{>pDx%(2FRHdJ! zy>3ZGe*yGV$rtY^H_GT)gPk}uwhf1kw#Hpr#=U>=#UFLGb9GikOPitD(6d zMwT~2lwOX=s;1Ap`_M=Ugd{RlBeDtI%la zxI$JKj&fz~O8BLLQ%vUieO*ZeKH}U^F?0E)#-u+foL>SqL`)NT+><5|D0FnWES?8L zIpOjW{1F^V<|@*@QcNrw+=)7z^LuDBB})o7`T;9gb7xCppi^`@AO-=y-!Scp(i?X; zgpS4QeHc@(G``Q|_yToX5Y_V79}Z-g{f#ke6dq(mlfl=7t3UbKS;fo3jyZsNKshJ+ z<-Oub7O*~31OoL_=V5V_BM5lX%=UB;kwH!!*N}T=vKPwzFEO1Skwf_HXLCk z{{31?6avq%x6+C`p?ego_52`QdM4>BFebj8EOB*Y(mr$J%(33u2Bxg=_Z0(0 z2w%Y%k;GiB;1$c}MaExq$XG}68sOUZ*>34(mjI?%>^PUOJ%gf{rM>#!ra6${jrW}4 zc65rvLVYZz!1?WKYC1*dlM7ARvGxb1T`T*@2UYf6Ck`uMc8px^1JG9*8&9kggwAoF zk~?Jwo#L8ha|4z8%^5>UJ9g)Fbp1U_bVhdMc_Afiavf4ZdNERQ>lD665pDD$=A=}6 z3p`GJ0UXCNK(zj6nR5B|+GDGF@)mNC*+Mr&gj?JSJ2^19bBUyjC_8t;CN6R`(j=W; zHaCU?NYKueOrwre@wQ2~Qn0Qu^H6yzK$2v7@7|bTNRY%jxo-Ci|HhXH%=H8TGEXBS zy{R5=+^lY0#rW8ew1mSTjTBn#lnZG$UH{RC***8}KMBoXPb_o*v9UHBC=PRSSPkr(o^<)Y;UzWUnI-y!8+&W9+DJcz7n zcEXYkOYwaUBD~!iUSj8&ea9MadO3md`<574on$iCgy^YxYtVdW#qF7Bbjq^p$MUUT zJR1{{E7cp4l)JU+egohIYtSR}X7OGotX(`_H(Fv=cQ5ho<~n! ztHeKFsoJ*>EKN%ki1Km3-3Geez=Q^;iqVT>I($q<*Kdv?P*h_%+6ui%#Cr>qfg$Dj zw(b%0JkgF`sKY;`YDu0!7eUfq(_hJC2-}9ss7~(1WMsSb;m*xyXO6B5LMz52^_F6A zo74->%!XQTF9OkRTf-4`QYQ}R#L!#6uIqS8eao{KaPMY;bye0s8@)KVKgP0WO&?cu4#z;k8M|EaBrXrQtjX6J;b5729UEZ6L} zxo^YMCGK5@r8E|IKxZvawI*lVx3pq1Rev=^5Od14k}btwAeD9ly{S zpGmZf|GtW6Z$3SXAI2Da;>8jY}9kpUsK+P zF|h{`6s$#8wTW~Lie@K3yZ)s}ysJpnEvAjdMveRePBJ@m2l?^I>DT~KjK+0^p?(Lx zCuo7G;+D0P=ZQcoo|Ei^(4JgNj2Az75M%ZQounszaO#2e>INfMt9r}kr(Mb4`cBX; zy?GB@`H@tZr5T?y5;^mA3Z+{}3@fsg>&4?;b!{;4A@tet&dlO&BAAU|Rt}IROF0WG zLf2{wtBRRw`Y@n7H7k486D;t9(XwCCh~o5R6WPXdY&NSPi4OA;C++H|)+buLkm`PC zX!=+N5}bT+-s$VN&h7C&!iNStq@~~pWnvC}fc4Ww!ft_vFL3Uj8#5b`lBU(}lZujN zMSs|)Jc6UQzopLWFH?$;kE12C<5UdZtNoZ7Y158c1gZ%TXOR@agM~gnTJxENzEH{a z_`!^a7oUVh8rOnTF2*ITxD|#9(DPN=ilGx(ngL3~zrml{kxO(o9t~6uXE_NnN3LU~ zT415z_O)#qlc+L7R-iU9>HNWcTM*@Pg9l~9(y|$04D3{%`FJ{8ys5bCOo4BG=*oj$ z-q%6JeH@iJWzYiTl+DOjl+K&#rnKV5D<}Vh_oYLP#}2(FYTukwrSRlD+01kJZ3i1E z@6FcueV0P5Ij1;*XY@9Yu3xKckNQ%L??G#U!J($mh^3pC#h_2y)@kM z{<5(Z(KKb;g_iR4|Kokj2=kra~ICoJk?`mOW2613Z&pS z$^JTnCe}qx-AIROVjyGAMK?9GfMwgrZUGHR+l@IAanQo{&xxv~NVbINeA7vK0I+e^3TR-aJQsrX zcN8;Npm8dIZt%L8fq`HA#dO)_+mgo={NP{O#G)$Cv91p!p$N3ey_e2;1T$lB)MdlZ z0WA~l%Y*Eh&w{36I_|936Qik&H(4E$QgjPH%dv=9pV68oPu=}>Lc#_f0%e?dK1%rP z`JP{k?YdRb$sbkyunA}+78=LpS(Ruz>F%iaN&4zk_=F| z%g*xFo!z&LwRBK?%TRrLqogvL3Sj;5PLUo^f6eE^bY#EJ#Y8s*wS0V01#zh6L48?S z4B?+A*|+&^?PtUbi8{e`G8IPp;PX4JG+WTIinzp_2@gDk$f`c_IFh>kLBo$uGZ1*M z2j8k#=cg^gw=$udcx7buX^uu#!1Ir~D+gd;I_CXgr(A{U>Q9`fp_;Ztc%rJm1w2xz zh5w9v!xs3k7hx}J#7g6ukA=ALz}i$_$hlzjitvuG;I^bHKvne)6n@_0^BmO0UwXmA zZyJ)dw1oex2y@@f*jt;Jy}eKNT8Z9LX!46hu@hzg3W^^xdd(%7-M{^L`)R6Kf}r1? zP*$q*;O{;nW+!YQU@oM7s=}$^O>`FOwP75DREBDVfvdGoQBP7PLenqB-%-jMh4ITZ zl5VJA>g(Aq zS#7T<6tGOpLqFvpcS@0LM8WiMWkV?r(obUhR?KQ2@`yUU5WHtUvuu_Ean&#NFE~QC zcYfpi?C>|N7*8&K&4U5Zn|dr+_A&NgeMmhZ$2hY{Fxf@;2Y^SP`hQn`glwXht%=SH zwX73ALv=5y(oh2xHu6Vkvz+=kHY`0|XZY8nqV++xT|(``?{u*!Blz!D;%@kY=BCis zX_+SO8R&R*G~LksMU{O>{4SrL8KWd%{`J!z|DpileRVctFaH+E(FuX3pzlN>Y$9GQ zK0Rz>cOfb@D=ls17I}bGbw8cfw!#Q(@?~nBkdJQ8lY&H({Qqi(KWQ5cXmsISJq>K^ z=}D@O0=T8%8ESS=9<81i(2)r*?Y|anjS_c~M!jv7f}Xds#xxG*>VTq?V$MDdpU#3) z_KD{OzkRU@(QNXa%~1%VBK$&Ck@;?1xxi&JVA!D+7!5A%HreQ_>Ux>~3hX#XzqnYf zfY13$}dM_uVV*m3peER0jG3qE5RE6MJs`J`u?%$);Jl(-fDe*Obnh~5;G zPX_JUVP|EMut^9Al;{q6T!ZE ztEI@jTZvIX?cb$TU^pOVpfvB2L*^Y=gl7xo7BW$^w{;B8102sJ1DQjo4tUa9B0fjc zpnAz1Oe&~fWZH+WwHq^6TwG!X3;{28dXg5Vi{pU%raxMYdb^16H!d}m5^a8ilgazG z?cB?IDV_M^Waqt%Wh8OiPDyUDOouET-19cE*4eA6S&(;2h%mYYs?2+ilrv?ei6J67m-W51`}@;3@Uayj}MUbM7DIqd(S z_JxZK?v1#yfWjrb>j<87K?btISpZfuuIXQ zae9o;&(rN>~f%-q4;|C{&m0+3}^N+W8riYnCJ!E<}M2ERyPi`(5gH6!C@)kHS@dkj6JU00!PwMRiA zW=oCZopR8ZbhB!R(DGQEwazE>3MsKdHqv~#f!z3|s-oQT5*=yK{g);($p~7-cAEp& zB+=QKtyt+|XGm58Dx_AYFlWz}mi91EZ%7;z{*UaF5Umr3WuH73;w=#?@x71@!^P#` zCQ+6RtD(1z&*qLJ$8Q6xPIGq6*O*p=79Q(8OjIWq5^c|4E)olEY_aB`Ef8Ca!KO#? zuYD$4JqOQ&zN2G`>RGfYd?56SqPb<)cT;LDNVVniOLhq6^>|tQd&)eNYv*6oy?ka2 zYt=b!$bLqLK$L8V*f!6RLGxHGpBJ?32Z4u)xaH&h6}Qi2(1oD7^!3TDm{+>>`{%!^ zo_n=O-Q3>MGSz5_IL}ZI!BzQ)UatNPv(-Y9teDgQpqc@DayZ-Sq**;?f1Uc8s0T-V<=f`jUe(OhLQlCY4g9@b^@Nrknv_Zb^rOhm z2`!$_If@(lpff!;!mGy#3N9|5C%sYHdnQyDp~ldkyCqJR_FziBHwnd1lE|_AHA}6? zM7fAl2KgX){^0r-c*->DVDN`|gC^vwW+hj#&!sW$aC<=0<*Y zUNy%V0hsV^!x2M?G=_rMSoR|l(INXcOl^n&+$z4(5_4PwuhrI8!e&XoVZFk)Gg&}Q ztv4k(SiI8iRyBo|<*`xUR5=6PwbH`?`zSpG1fKUhZpRnE+<;rI$cZuO-fJLV`R3$Y;$6NKl7}I5DF)#y(j4FGrj;^~nelzx! z`^B9ywtB*cTmB)1Mv~+4amGSz>nm>9KOhhtJ-ixK5IC8OVzz(kTO5^Sll?t#xFEiA zi@+)(ec{Lv@gS7Vt5f+@AvH3TP6Ne3eA8>3o>)&hRFJtEA;0ba=s)Mob>^M!)TEyo zU09JX6!+*5SPr(b$uSMMuGyOOTe2U9^DR5eqD^ifj=QMMm@T>?AD_4zXYXFWq091E zMsM`q>OrWFzzl2E_I>(IPwupJg$)D9&5nGUPH|mnj}glU0Ifhx%s)nNc`?0Z`?yM} zOpd5u_|IU(f*lF;?mo$@<;*4NDjB17g+SDr3WZ9NpKK&HPz(5MdTR@tC9+bD>$#s< zV_~T=O%UA|PoNY{)(!vHMAfYudFj(1HMd_oI8$vo?M6NahJJ_8F<9@OKqwxT>3r2@oH|>3}!lb%LEXOI~nXqAtr?RC4TZWaEG%{1zb=8*crw0a3Q6{KXWVlN6kH zzr-ri3?m4NDPcM?nhN_&mDEk@K9u|D#EG)TQd?Z3-9#$xMfHrso zP0UK>vn8XSm#S70N{fKFi6@}~dQlxxBZiNWNoW-VQ~{XT!a&1awWl)ZuOq*M0aBR{ z>^xqqmLK; zw0uP#dV;Pkm?maWEZ}@86WNs0Okm0*&TlzF2frC$@bIJhHi<&CiI2NoT*zhdE%bgC zY7hQZ22hdd)Ep^@I?kSmwo3k5{(aMtUlV zGH-_q+CK5*lwO_DI7$5JGJkYSa`zan6vZXiY6A03k6;3wbrJW82;44_RZ@9~I=HAQ zNqzJ!{8rZ98=R+mNQkG{I*E401{oGr)+c8aG@l~k!3~{V)G5<{xS!wPt~LT6qA(#x z`Yf#7(#6w+#O5yai>|gsU5zKd{Rh4lGQ-*J&LdCp54d-twJB1PRWRp%3e>d=T+DUsX+zNjUhue1plMRGeA3opBU&ocw;(vek<0O$Vv=U(%jhA;jI0j{5Gqm zvYszAUKqFsY5~1@^J>O%abn7_wN1B6@$H%g;O!Tm*_qkCcJL_G^?`h%wV!&43ghnq z7>jYZiWjy!s3`(T6;1R#Mr&WVQITBU=5^=E0&HZjUTCjP6v<3J-nPDzdy0c-v=51s zSoEN0Tvy$8T2C2aYy73BYdt|V34QV=CW&nO!g>gJl)Ah8r=2?FXnFicVZ^Kq`v4I_ zruTikBX_Fv6q{)F?4+i!il0_9P=0F@tW+~Y8%m0x>ybsj^AM2cT7iy$B-S8jcd4|W z9-}_~{P?#^Cb4x`x-eDgPg{8Gh6lyKUVy>8&*v~rF{=QF=|h;jeng3X4~xhF4gv_a zP{q}&hZ5Zo8(zNdKAc%(fp8}z^Ii~w&*&nVo0Iih%H~8PH;}VyuWb9z&!QuK&lRHk z;`NR%xI!nlXKR?|(2$H%5*})z>uv9=7vkNM&40CsNlVbc6(w;2#{H7m-#G=AiUF{U zA?IXkyyv&{&;8hkun-0d4KugEF_MALNz9bPT}LYV>hy`6@1Ga_rdnIqLt7-|4{;9| z?fxO{C^zzZE(UtA17=&#_@e8$OX^HQ7G5I;8mP&LClf@qAi?I0Oh=*KTJPtc{JV6F zFlv>nX(^NCWfg00ddN!U+UC zFLtukSWc-=@^CQEg%U}O-UW{TAXIkx>fpwBF>FsgK?Z;7nf5^y;odqr4vw&Tg4fMr zbOo3h^t*!h?-;Mlk9%J<_S8c3CEm6tEQxEQ1}Ukp8jHs?Ng8KRx29I%b!k4=L!p`O zdL%66m_)3;pvw=oXeD^pQ|@_oGrZ_!;rGMo2lWNmcX1Ey2w(#`S;IX&M^y>ks1o;( z_gQjoTPI8dneRwfTON4=NeL=co6y?B^5%PFM`O_j*42Fy!9RazCQ~LH1*b8!v9JZ| zqrqrCgh?}BSO@w9GR_Z}mqNF>X!l(ygR}7ky3XYpO{oKPNzQG?}@Dkzuj_LJ7eDchhlsC`oBHKO+$mNNXm|WUWH(t&y*4x;uW< zCcz$OBFX#_A1>BU@Ip$qH8O8!dL2->av$6guH|h-2?-m{ZQEx{H`;yp++K5gsxhEz zO4sRO!e^YbIsR6NN=W<7KUQLS#z@DLF;syy)$gWFTX%ghdcKtIU5Hy96tfW(e9TCe z=?^ePxP0+O9(VYzEjAxMO?;b%$&7Dtofgf(FNcku!445Iy6{hs}eK;nD9?>{6V3*tVw5Ft8_oy9PaUGND_AY z{ih=*LH-AiuL6`Hu3+&NTMVqWN2lvw%^n)>?R;zPVnX>Vs&eVd$avI6# z6GnwAs?pv)Hx3V@h7~e(yy9y_zW30v_WcXXFO4wIuHS>T)8ya!N2I@E!4@fv=RFNq zqKBc=klJxP)U6CO>C6?(5i5$Hw=bQoIBx;}y72QsH5XSKJs0wqKclc)-S`%IT;E8U z+d>+ECbpf!aF6AWI-te2!*|%NS50t1se#GYNyt;iRjnfDexJ7(YFI`PcqkP}&}hfHikiU83YX5I98eq%zp2H!qB}s(?}Q*Nk(~LR<2R zm&RRc4B>qm1L}=KboAKennk^r*K17ac1e?Mx*;s;x7or^t-j5W4vC3>r2}Oduj8?J zgz{6_Rt7VEM6hT1Okyg;gy%@9tuhbDo;w3wDOItryWh9{Q(KL%AWC4MDl19!E}~O} z_YB2Q}d7m$Fv1Bdh!Q zkOILL#W>54)J<#U_Ql$R&&XeLO6cnG&OcAKhd=@6Z>-IE2BJ%^er-)~`DUcb>_b

    X{1OC%bUeK$2M-&09~CiqZLB zyOr*55jdPllA9@`Zf~HGJCUqyc0k|F@Fg(^TkBb+#fEy(eUV{*{hO%Z&akHKa{oWF zmBFA;Pmqx-g$-i7zJ$n4ThlSCi^Nw4nFP1ttlO3ojkHX1z7lY8Cq#r%( z6wVqJPXg;DtNGt}fpPWT#$%6w06w*-4Pn zrzi$0JX*g?!7D%wZV<<|7Q1E%w}n$rb*At9B@$aStputX*~OM_*ehkCXi0a4T5z*O zaXVtgLjY7!Z}mP5@f|mMD{^cWm!N3=3w+>zfp3MBmwJORIb?rsl_5O6k#lv0N9n&e z{uZ#$o{9Y^!ZL>Sy?gB!G2Kkx@9Ka%ezl5}@+1Au^GD*51#PwzL-<@VJy%&ZQk3xU z@UY)AdG=zX~73v#sprE0&migrl`yW<@Pxx+O z0kE78iXu5*@p1&oAG+O`l_aAiUY7s}WO8m!Cq(_OLLXkn)8w+AczzJFd#buz3a-R! zGk$Iy!SOKjrf{jftb9_QF~*gin#?{D3SF_c;G|PBupJiW`B#umzXUeXd?tAd7cGx{ zoFs1TE@?m5a%s;=57?8$cwsBvH!BEb)E1#%Xqv{U7SOHPWH)F!(r{g2p3aaO^{u@5 zB$)o@Pr<`VgN}O^!NAdyl`nwvHO}@cvN59x-~4J|D4pc`A%TIARSVxT(gP>`F@V;h z6n96qqlOk6YjKJO+5mWEwzvvO@CLR09rc*##G5h1i{QAQM&qg$1(16Dk(5ZD)&H1R z(AdWPZFm7>WcsU}fh%Ga^WC$^zPv>xW2WSr-E@cg<3+%)#tX#UOYrGTbM`Yn4IQRA zP`Fc(bsnrOFo?yUwKhooNXJlt@mJci&kNZfN-=-k`x4h%#oRwh+NZ7OSE63aV2tdO zm}{e5C1-vlj_I-O-j%;21bI^N{2kvBYlmxV5SADQmjlhYif`z#*YFCXNCkgxAFS@RWcGF^Jc?`W}F8p*}o zr~Ulho8(=?m#h)$tFyo#-8MCv*lWzNCvwi85_4a@EF{z4Fne3|%T|Wwn?8CNRV2N( zDDIfsb}GoQN%=pS^=;@{Z@Wx9jVwr_c7P?@4YIWQ;T`w4a2_`XQwOoJNboJbE{5SnI!-7L@ zpWiy{xPMRq?b`ip$2zUGeCiNnoo3YSbBFRI zXdXbfu%kX1QA9i-nl6qu-(2qFSr)!MH^lQ2S9C&Ggnmc!W^zLb?L$f1;f1hmzYmWl z$mGhl6X|dO^wnh_{!kT)eu7ln+kS)~mN40GX&%xuV7-^jnvEZpLFYyg^&!Ht?R>81 zZ!F;Xtu~R>WVOqIbz**SNOmLRo9Lj_@8sSigfBuh&9sERR03;As|Ir&G@W{x-(QgG z9*``iJcI}?0_|C?Ic^WqHSY8xdb}e>T`c{L9O_UoFHI%4@Keh|qt4PKC&5E!Qk=Hn zq-<=~Q6jKV(G2PR6;BN}?{O4TSjLh)0lfyUwpP{{C=u9vQo}-1A!{M6wKGU>-nu)U zz2~R#w-z00e34o!-l*gBV7^Ziq}mxy-Wbno2Rtco8~H+7=I=%|dAa(W1W$1eRTT{I zT9?1yGuef7(3o(h$t9Ddsz_fg!nj6IyPYHs0{r*G|xX1@YLxK@vW(8y+ zGIWx!sz=lX2jsbiY`#!hn+0K3TFbagfqCyEz4*oMYH9om`folDOueqysD7~~Cwf(# zbmKgyNqnUbIX2BOcjBBYBJ`-FPU+n8N8zs2jLHDXnG#RY$W zu!X+{(Fni#Z~IVu&KHZ$F*+Xg$IZ&AuRX%RzB+D))cR0;05y9@ENz9X6#X1X+$HqO z4EoXhhII5oJ?TP!KAcUF%}!=tt?HS`>iNcU`X_N#MT?hd< z5nAG(#~MYbyLXJU>%w1i;W*2_LY%FhFKM~NG(g7>B{A6GHyT7qXF`sZ`Kd6?DmG?q zJh#PNncWMUG1+Q$eqf9b`BzOTX&?%IwgNCAY=l@ z`IC#odeSQBQh}ltS(LGxgRUCqZAE#2#2Ln(U@@lC{XDJ$x3ATq^xB}xhd}n#iqt;d zuz%u8)9_7TR?a5CUdGaw&+d}75v@Bdvm0T)EAu9!gpRX<=8+O$ZVIWr@$X$ZyObwl zy|2|QUCP47mCE^tk~kCCo2i;nf1OxKDtLAkU_wypD;`^{nQ{^I^sejD#8b3|YUZa2 z2Z0U8i^>^gH2tW0VDs+gyUa2CDzd<4o_@j1FNHLq6A9h2`c)ZvC4X2b463R5A)+#A zS;@lVBBxJh$vhAB3*oaNi-9j?bKu00z_?t<;=W=p4)_gHO>`9Wy5N_i*J12MLZWoj%lFnHOfgeBrdj;4B_o6+ zQ?w`O&)>`39v>eeS6>e)2QCAb6KdTFF*jA;s_LEmALI?A9{J^slW5fATqQ}8dbepy zf6A>(mFuXqs(!JD0?{e@$D%s=OEz;*jedxhfox*DhTVz9ok#Dm8 zG>Y%;Uw6a@eJdiP*U_nNBUZL(gMUC&U`OSq*f(@g>*~(ecV3rFv#b4&GzkhKyBsx$ zgm1yxyfDQxq9mG$)@H7I@a+AL2FEAGZWD;S5U(PnU{mDVq43`qx=qgGKR43%mxh2& z2uus$>Cf44iFBX+Haco(;&Y1eZ+UtH2jUsi1Y~1`n3A~_`AmHiekCxirWsSC$MxPxT51%nXncEZ3)&60HP)2g)SJLa_c_+ zmR&~tj!BfZ=rPb(XdVPiwwc~j(?Id<`)D3nj31wZ`wl36?*mN#wce_-i5ek979V6{d!yKakb$9BID zPUQ-}!C4JY#}1UpeIS}c*VEa#CKZzkRlwuoa5&Di&}SGIdUS(tAdApXo_`}5{6O%U zEtYYQHrqgW?2UFgYgO>2Od+bYAi3=;1ZJiFHIAOjTx`63r{LDGKBKLzZNWj@_npYsT&@qKxdA6oRd+k3D*f8uyZfUZ7V z!O0v610#w}L7wnEE3_Tm*2Zs$CWH(PVRaAQyE*QN>Y9!pzCqMOmdRUv9(Ey`j^~GuN-#SW0aMHoN+kl_t0vNoe~?5# zYVh=>?&jNg=OUZ115Nt58i+9ePpc(c>bw?h9QPwfLS-XrkFO!cy#E5|5eArAdi|L% zMS5~m=N}`R0R5NEC}O0bY+`T|V>k9;0RmbHhNmdZh3&*@%_QZHD;1D^A#A{9uPM^d zrO1(dzuEZw^T5VyZqzTz+3LuSL>+pYuF;%7G!A}UT-F$a=#132&udXDpT%}Y{jQE# zb_Es3!*{b>M<$iKIeAJx>J?Zgm;kxx-iO?hxF{T9%C8W9YTZ8&!|%(${Cp;!ijiyT z-2d_2jb!WPL4dmTM^Rx{CC{}%L`!WBi=z7xr})*=%fD)fm)>>B+Ud^hG9Q1I5FO1m zV$I4>?&hrAJ+8$=cS+W4oSjN8B(~_#l_EzP3P#`w^+3&V`55?3`pMFLebSF&WGZJq zvgpl=n9}OedyDrW`r=)MDtO`vUddydAD?hlLk_5=!HQ@^?Dpb_nLw*HPTuVzUJ3W6 zs=k~6KmqNs-e;;-Bmlq5ijT4jU<%?l5EsQ#{rM$^0(xOkg~%SHY6!rn7+1=byOUe^ zE(x%mUL##q9~)PHTm*R%*4zd;X8hIbuiKUm>ra)E++k(Idh0 zJ^W*QK50aim*##J{(c>cQbzuXk(4F!c2{*0?Qucpv5H4~{3xzePVORVYv~hxukr{B zW`K6`L1zrIBH%x*8z%V&mZ-J>+M6B>HBAZrw{ur%Qd$I0>IpQAN-^KvG-4N4M!n&sjH++Y4&6L$R5dbJ7Rqe97?M%b?iJCBc2Bi$3KslS9U6+)nEQj>)JMMD z6sxo%M1SG*&|CwV?fG?;G{PUC(3B1Kr};&9Sy}VJQ$62tK80F)_Y2>y1j7<%AU0

    #6E|^)iMMuR&_8)NSzo3c1|HqxP)^4SnrW4_6liDi3s;K3?11)IFFH zbTLoJ5>9EY(}!l&O}xxe7U+1b%kRJF#PJU$+F`V-qUdjA{G87&Bn}STYu6atbkHoQ zZlOjPtmus?_2sg3($?wJ48}?1jT}>v*vT?W5N2WQMe0u?Io+dO7YF0!OfZapL*QWW zwVqS=Cp7Mj%$_!5Uit9|V;GD2&(|lZb{i(3LuUHjYeLookKIDau}@oAe^>7@e5RoC z(qoeWgSgXf-};HD>e=W?c@r$VY{G70$-gEf4LB84X#X|%U8w3brwQ!;rXWWD{v!Da z)NntJXl3Wh;smItyYVVEb6;H%wni17m$GERh|DP_{2&3cWegQF+w1hbcjlnyh&}i@ zDvmFGws7@@dhhKcgLfwNdezX$UdJylD<6D?>H58-_u1;J1gz~n!i8}Wr3xO8_S#@d zb;_Ki8y(DHI1qpY&Z8CeI2-5O8@1y8C*yWi28A3Tu)5m*7MF@W(S~mC4m+ymETlr+ zHFo64V-kUN{hrI5pbzCm9~M&HiG0NLLk}MI8#(ElKt>PDLj-k1`y)@qs+5)4e?9h# zcE@@ISoP2I$~JA}BzaGn71Z8tsL7TNASF-Co^1@O9rq8dPO<3t5YM+6XY6(??k(n( zd_(yUNO#MhN;lCMU5_s55pDTCNB28U*sJBui-&vpITcr~P=OFrg269Yo=|&j;cD|) zZ9<<7ZFxA^*TMu^cYAEjW3<9|KfqiZ1ANubcM2DOAj8xiuuCn-KgMc0dm1t7n>8yM zHe&n@T-@#E;;BY3ue#zRgbQuCO$#L#rS=A%TrTkFOq9kzJ5j=|4-L~>ZFLIS{#ux_ z+4d!18?nJ!VwrX9(WVdR+D~q|0!K%S^nfXeM^|t?3WHy#eiXXQJs;1S^<_sO5*>@h zHbARNKN5}Smr6*TPBwT7t7KlbCKVRWQ`mWzdRteTONhHvblhu;>2!3pA^*rn--@P{ zq7gnBP|FL{z*8BE1p0Z%`NG`NuAGklAp~@~V(tO(=76YDIyafwOap(Uk)!1+CtO_Z5--}An-r~8A46@awLa)45cCGv-t<1t!1u7X zrY2g@inyLJhxf8Bwo+T4^22UkOZQF{bYf0D&aQz zT4b#|n!na@KS>o@yZ)LgVwStVmdDGKmFK%vJL`4euP1f0m`Cx|P>)-77fL>(8=9E9 zc;@F9B6t-1I`vjDLALQ&i8`Yzy0D+jV&o{>kyll0_$*D=m|2DZ^C^Es0S zEutdhiSAv-zxRN6h}(PD718^TE@3Ma{PjyT96!?CqZFOqB8<&=x4tkmcm6Ik%*$pC zFEX(+Ajh$p0sf!`Wk~WM;1G4XnF6w+im1k(Ye7O|mVd?HZjowMoL5T$woO?{PW{k# zjJI@B85v55VK|!C4^@_KT{W7LmG0C(pT4{KpT@h+qan#~ne+>iTGmEMcWC2Fkf#jV zhR17L<>rr!sfv+TlP+g;k?2gcOui!r;==xDfZqbqNx%yCaR{3ee2pKG7~C{cr+(s~;B4R*q6jAe%;s&ANd*&LC2~=z zME)sKZtTu#yEr~mMxvbSGoFmG+=r#t5!Nmo4L1f?Vj&h1^J@l@1(JZ2m_5sjzz$i< z>f_O$Cmq{?z{3^JG)luCTxPaV074p1IuLkK6Nd|uuR3KpjsRhOAYk5&@Z6G(Qrt(l_`aj z^IPc|&&xQeNP3R>Y5}YdvQ=C@LSmQoupE3;iNG5T4Nj&vL%BsGMMKJYDKD{;R2Oq( z#{LbLjhuB9SXfj8^F@8*7f(}_jxP|xQlXYW9HFT^$38Ed1fkRW{Rx1zTn>Fe1h92N z9Ak#D{_$qmJ;>ErJqNDb@ix105^-K{bo zsUDx?$Bp5?ZVPCgNhoyZ9srr)&fG3#+iTZ|1A$jx<2j@Vwg#s#`viWW^VJ^Jz{_A- zY+1tK6k*`KBxK1x#3+XD#>Q$^iKsd>)`BS~zwGz?7ILKNdChE$cK$=6$csFYAEwya zACd=)yVI=g_RxHp#5hMI2)B&idxs!{2Xab;sFP0^Iq*VP+?;BzALVEV<=IL$jx+(K z{9#=(NLtA1bWTQbuZ(__s(SuGS-IR~fD!sn3r>!dchx zv=03am1sr`ZNKl8!AM_sOJPS1>ytLirfJ=JzL<`gCHqF*AqeECrKP)ucZhh`xFu!V zZs)yW!^6&{oMMYp-pIF=qMIO>qx)TDDc?P|)3#JhSL~I!&|SNmROiD*nQ|-aUHbUx zRWZe#;2addxO#Gq{h1^CpPbEHq%rea->kO4eo~uDeI)&-7n>-29O@OF)1flfES9U} z+iV~%NPFzPw{6+MGZ`%1PFemBy7gCceV4>s8+UT<;xmY&kT9uFc zKoUGy?!;Y zyzDN^3S8q+B*^Kshga02DXi%1O9?{<5%0b4IFuighCW)55dEwR<(-cHkfxBHBk=eX_G`N<9B3IDRRY4V5AkYjLb@A z2$79?;R`+#crJkw$%f270o%``ldsWg%jg3Fu!Kc9O*A_?ZDq90MiNlc0WTpQ%$Zcud3LA&$@ayuR;E3Wt2k^`%-N0$~GACz6GTNU#oNA?j2+ZpFImV@(PCBE{nD1Wl{dk2{ijOR z0M3pnQAXI$`rbE-M(4*8BF}t(Id6et!2VO=^or3dKjxaJ;C%5fV0!J)3FR0Ag6pw| z>58$_7Hc>zLoxSX0B3-j9os};N9vmT-q9-ZjgqX53rCOaibn;V#`%gK{SdnY`hOAk z)=^PK@875h3WyFM(hbrL(kUsO(lRO~N_P+4-3Zbt(wzfH4k;liNDLq~Fw_7OcYeS1 zzVH3Mf8KlFweFv1t+UVUz0b4H?C05^5dPxF<|8kX@uWSo9D9O&1qaT&|6Fl>by0z> ziS&>EY?*L$UuvGAb%{3cmoOm2Z@%#T0~Oe19m)`D#QE3?b`FVIJ~kH2JN z9Q*H@LHGPF2V(`VDB9KamXo(+N&8@wa$j9b zL}SOMckf`D4OKLAR*$ffI!IN}H=l^@T{(4p!AWx!G8pr8ap?uJMP4`jHswb4npJ{Q z!#NFS_7N$-PQp8b!<~m>Q6AN8^j5Zw^=b+#PM9>WFpZz(!a=)wrL8(xj2Cyk$qit; z<^r80Gk3V{#Z2L1&+&hYsHY2TtZTI!x&91?=n0x+XQ0D{>s&HRk z(~8h3N;vo*=#A8$IA zelK5V5Aze_^|}0(0VPnz?t%Z)C_6FuuG*EaaWj zIYm&h@!73ER7}2m-3lt`p1M)Q=Q&u2PwV5 zsOM6DG0K$ldjt5sO_%c8q$#TV6)u7Eya2#?>|n?3hYhtUHYa7`!f;_VT{q7o?H#dH zPP>C1BOMu&S3lJXDy3gh7GDNM1Evy3BN*^5|Dcq438A6=+KD?G`(Eo9F$O5D;S{ey zp@DDLv2gc!gIxxeu_M}4pOF7Mft^4=lKg?drR9^!x|U_>pk_K4 zz>cSI2**p=lb1=kIxi}8?lj?FD=)#jFzA5ofo%dUv+DRrQ^;(o9WnW+c9e&4lih4C zsraj)oj2}BBHcTC`jG+dYil`&efpTP==vcV=Zwg&Qz`YLMO@m4Ac%qM<=u7KOHKYo zV-s|1l2_^&Kr$m}Oa{Af*JT+K@0t9@XqjPO=EjuSzk3PBJ~6$W3=nZD<8_nDP;b`R z8ii$+SvYi3nGk#zU1M9huYr#FgQmd{NjQlG_ccZ$R!BcC#;{H_RTdVlbvydjhUJZIJdJ;EJhJ2Daty;=|Dch z$8=d$j`GFme+cSLSbLu&rkId6aW`{ksV5}+c?FY&65t@Z3qIHN!a$$0UHef~Dvfg( zoI56wyAH-yvnhsR%&(!hgZhN zAqAF%n)l^<;MzO+B&F&nR#)OGUteEp?N&{7-GL3ei{@N(8W#-DV>x#9G|;ybt2Qe1 z0rHx!2R`A!qF;%)JmK|Inc|YpC@>^7c}(o|P2yDB5up;5E3qZJGpHa*r;*XId8z<| z?DpjWteu+Lv@zWinmp7gnW3#$4riSB?J$hRq!zbQW$*Zm5r9pkW!t*qt(Xa z{dz2J0}K8^(MPD^^+fHSI|3_O-d&JTUN02>VpGC9;bF5_Nt1ZX5XH}X#GYXnOdxN9 z4n+qj&q7;`DgaOZvXwTEf4jpLZ*G+IksNMJwiw@)#cQM74@uUB?sg&`Bx35{W}m;i z9LbNhvx2l&-h8q1qf)G}(nO`Rcf8^Ul?}Y&fn@uTQkV$p)alKH!MgQtDN=Afj^=Ia zoa5VO)q(MM;+X!vXTBldUL9su0*Q**=434;D2irZ%N@05fd9Q%XvI7LmeJ^7t2xQd z5eYbOd`p&!jMwAEc*}P`5ajsncZ?{r6L91XCo)bfw<)Nc?pU9wX|*IiBCBT=aFd?K z8~UgNUcXH_OAq8@pm2YO_(lv*ghFbJVA16=-{A9onhFYEV_1A}^I; zKH^=7hE0km%KIK1fGcVG-VulUHtYId+r%A~MB*DfENfXuQ~-KSy{Q+}6>XTj9mqt! z07u9Be_w)~UBG78kqt@Sk5qc<3AEmvQLN0-kbM+`ZlN&;urE>W>#CnIiKS|M;+AlT zZzidKX3WQ&W=kyh_{lUD^$7eZ`^|$>ztQKKSk$9fr}M8Zr=lG$<&n2qVBeP%plCmw?T1`rW-gXea^`&lj`Q?oLrNIaC zC~9^H=lB-7&GyRTud3-<%N;JUXs3fBUbzr9}$!cxOu-!{wV?=8RJ zp2T@Zh`)y-{-W+`RB-}6I{9iwzBc}|{cC8b_a zJ7>A&8B+|b!WIe0Kd-Q>^0rq89U;jqD^#^mAXR>{Fp=Px5CCsKX;2quW$*(x1)(bK z97mw|lkp`?^wN*LuO>Ue4!!i>L{8w}JB82xTnN#vJ$H;E(oNLcOtnPkNAl*@SYDE6 z?XV1IDqItkwacjCN68;Dtx@JMQBH?-EeJo3rOHJ1{v`@%$my$N?NX7CPar#MW`+FEHD_O)_SaQ&F0LyxYW=VPSN`3XXrV(=RXX6 zTNrkY{RRbKI-09oGOYIa$x)w`-9Uk*Gn=q%boOYJ>xIqY84^ochdrr(`%65-n^RP& z+FEIHtULhibU>>ii|BE01!I#5Xi-ylM0U@2C->~7Ndh5lEZ4CdaYrbU@hUmE)MV8N zJoY?-0#GjKQ7mHigefZ!p3s5cGwaCjXiS0>gQtvqDDp_5^57q{5;gDIZ%-*)l9;>Y z+ua}AjJy^{jObi}zgx2r+~53Fm>Mkh40kB12<6|`oYT?;Uw^&Lc~Hzq-v?0pBz(KQ z1SWk~{q)jXXWs1>lSUd34~_44@GY(4crTOerMz^;A*j;j$>^E4H`^liDz3eU;;l%L zoR~6u&Icoj79P4^_epegmy9-8R)c~XBWU4_Ja{|1x7R&k{00b|<&V+uNRNHp-8qtP zI`%J5jZVX1xpIeJX0~9&#P??7xro=aN{qRjyY2i{7)ttzkGsVqyJgq1?PL4ezg;L1 zFIR-p>!^Y)7IsouNs?Zb(H^~|`CYY$HO6_#q&QS}Is(v# zO_kZxIl$*$OPIqfiu>^mz>KB7FkhmP)P#%OIqP>NV`wQ(GI}v*|d6RXVFVq}g;#zp-9RV~<~e7ge}V6q-f1?9>kpZvq<;ZbL;6x?W0YYpqX{hmL8lvMtodr=Ydc9 zHt*pBNd~w(rx&2yRL&SH9NHVQx3^5P!BtRvyb2^E;5`&(_lH!GZR^2*_@5Pv7?Z>i zfp_^o88Rr>Ej=yAr?8n6u#wne4T(RfF^8|_5qL6Zjj^Cqe1mPvACRV{#yKoAC%y=b zu#;!QEnMMV7HYIMUxj^<;^B_s{Kf5-h_h;xG)8F|fbTQswlZvlE7B-)v#OFPE2uHXk1Gcpi*Nty{&lM+gPIpY7rUYJ=yWaiT3XxYbuxTf0NER zf02EUa)dAKTLZR>CMwxnM4~=_RM7h+c?((womFnr{j`?aPZ2Jx=xMW#Z%}>Dgl{z* zUjR_i)W3ShdJXL0#5bsFh6UB%Cd+f->*d!3wy^c_WoEa~Yn-RLV5^qwAG)4v64krP zRS@lK%1+yU`{N%OwwYWYYL{~P^FdO_CEfb1_ZpDv3RB`8Kg=M{wA|+dT6AOhN=V`F z{w7KMn(t*&dV7!BC&xHb7Pilb>`94_K#{}@%ySW|CeR`KNgB^Xzcia?*m8~?WA}L6 zbb@#nP@=wk`r*wN!=gTvE<td!IHP{~e9R(#?1RBJRdSlJ?q{O>fSi85 zmCAZHif?6zrWdhQt-jhf*EJxvK}f*`2noQpS$C?n z6M~3(1u1@>;rKL>XK{ttT)6E{H@=l`imH=-2?QT}{xz=8h*ov=ErJ)slztxY7wr*s zf9KMRYmiZv!4Xd$>1eW*4c&A`rp`qCsOx(kN{CH70=nzzKeNw0l4c)NAPHW<^vU0C z+PChug3$ML8lnxlx~})5_u14M>k`r#M5~2t^$D!#qul0TjEdT?Z|tDwqH9r!S6%{m z{s+e^f7MUn#vRzNoqFEqY?GiPb{gF4_n`wZ2%NPu8~9?qH`Z``#KlhqC`9S=;5n|c zU*a>Wyj7>!#4QU2T)*cL<)|wB8^9ru*n2UN<5>BFT|Bo`gQh0W;;?sy%Syq06dh5d zYscT@P@bMkW$b5PH*?BQY*$bCC z@OwQo-qB<-a$Ub;zTdZs_7Ijdq=PJ9R`3Zu`@Go;AxXuNF5boHI0-9D_^4}IzMT`n z;`~8k<;)E)a`K(WQ!6bi0h^zY_l(JbFs~qPAod^t64aq6_u-cc24*jA@+b*Zj1F3l z-p*V!EeQbN>u;&rdD=4KGu#U_?HYf;Fia_Y1Zr?$;wfDZD$N>KFmRgUGda z=m5zKXVA%4&5j<8paWXnlR?cf91*io^e?zl6o`q0qx;C9&VzFI0J4Xsx2>3(yKMgX zXqBG^@atsdr+IfOpP_Br=9xCowdFD|@-h4+fy)BAQWBOylg{K-05>jC_Eq$v{2lcP zz{WB^qm0rO`)4wj?q1N@bZvlkEtXM=eKw`H8hkDzfK2`+?@bx~JWFnfzg`JTnRH0f zCH+=t5N!DbzEi{Rq4I*<9D;kpY|m*iY%|PWnRK73@bRB@#mkcA8x-H*cj1(@ck`sj%-U?iUIjt+^Z zFpMQ1t2tQQw1!48rjq|V;Qotz5SY9OI)&5Z?w?ePr>}ap-Z@PbN9>oH!@H_b;z`b?!?_~F+|Dzb zF8}m4+WPpftNV%r_2NRKwzj1aF@~d2jw5r*k1cx^(vov z?Vp&$j+p9`9~|HK)m+v*&_0<%=T&3V)}ZOuF46g0kME$bO#XJfZix&mWpw=qyr*35 zAqxYK+?`prrYnIl#!Dd?9+$>#AK&cBMe0a?|22w7H0FXyVOw@wLO=EWYoGF_!y*qu zMQYBT_l4$Tv08VST?nIg(CvyS1@svHZ*1m=tem!esJn zQ^Kz9yh+h15NaD%3aeA!ZNjyi_SPo(-WTx%y6B-|X0T@|!r6%e@4!Wl{Jy8B4w=Uz z>B&yX+v#+|J7mfEIg+sr^OkD=%_(wt{#HjGW`lyIRa6FObrQK6w;| zfFeSR2p=0w)c^Sylw>P;BU-qhp6M<>M_*Pr4}vz1dewGy><^8NG-SwKyAZ3vH) zb%g}%NqU#&D#jSh))G!a<(iH}IzE{<^>WM?S zW(tP^Y(vj~i~oMKrB|SyvCUF@5Qa^p$%9Z?eZ`&(*8R@~gp^sN*|{>idnld>PU6Q< zE6NzMIbXL2DInORf{Md<2i~|;%5f15XJ5ao{~mR6h^e#Rb*(-Xvp>z^d&}=9yhGNe4-Ig<{l)Gls=AYJ8}hp zDRRqht2h@csjGf76jAAn#Gzl|N-2?!mzzPv9)z|c;Iv~IBoJo$)lcG1?z=9uMEZAP zORxHk@{@kM*?E7P#{$r--!24Gm7vp&4{4**{!-gQ_vhF(gE`W{bDa@svvVt7xV)S& zrfH5@-l2^V*1T`ChQ0<%2;RAOJmmmwtJ;5B$GCD52GVj0p^}S5ucBl$DFg1!bZkB! z9G69Dhd%?!S3*jPnf(v6mj&`-jQ@GQY{KZGZ6sJHh=U_O7rHPK_9}Ib z1qa7F_z9hbGO&`)Fc7z{Za(7Y7PKqlNWTDIZ~iSfPE+cv`s~Izz79iM zjr)9HSxV(Api@}RChV>HKu9C<`$JZ)8$s_qwah@_OkpIzmOH&JGPgyPixSudIvgl| zDQ`vifxC{7dnD>yLD?tZk%eXRJY1wrIjggqI(OQMkO-_@pUn^r6tt!8ci#G z(^HQAc-Vr0nC+oIpWmYywfheW0?yAisC?1@q+-z9My(bqmGG4HUdK_A-LL~J{cK&y zNS#f1$EMDWBrX3u#xjk*6*t`{hPztXE`L%KpqM7YkgC~K>ir%L&}YjWOGxh=dHu$H zgwU-8}rRlD|33D;H zI;qTJu?B65PFgy|^5@-gT7IZ7*t+{ebHKBCTfUgxI!;W?IMYDTO&P=uo5=P%Yv=?3 zIQJb#o*oMXS?ycKfjB%~%{9ZE&WV;N!D!K~*7)OA%;YuW`)aO79-%LY6-heKhpdge zxBa3r%SM$Z%aIjbKa{BH)*yCLBKHej#7lb_mTViflm1=$ z5)JNDY7URY@RZ#IwcWJiD*BwB{{vC~|Gtx=dB_#z9dmH|Fb*~q4Tw(TK!ebH(-*(R zGj`bOV`3WI?)8UPp{=}rkDdh=E5(-h_G+$N;cEcf3u%J5WJs~6F5kDq2dq3-?E=6-oH_A0DYZ0o zI}m_Jf3mXl@!u8SDqEJbFYJSu3t_ZDs*QCvL`lNvum~7@5@%nF_?;A@G@f#Z{(0_1 z-!#=nrsEe!OGp?(P_ZR@>aCifgeR^Ke)#p%Q-13qricw%s=R)=s{s9L##MoMlUef zRQ@UFMtLspF)ZL-v=0MDTw&PJKxSIif z9QRhiL&0dNESVz` zjQByQ=I2_z9(^x@xJ6GD*mE*x^>YwDJYm;+jkjnI)1!F%8f#uY-TV@9Th~HQ8Z4N= z$p6C#}4~q21W^?!8@YURHA?L}$@!m7HwDc!F*7>umKIc^41d2t#4|`CX-l99 zgz=`HfA&)2oUjttUAX=9P*+OLbR6&>YD_6~Y_^ej{4@R!icg+vh?IgFl2kIQ!DY4^@rjl4Y6I z^c?6+Uu~Kk?+VJp;^w}PxQ%Tn4@#I^I1chRGRd5gonDecq`$3SwVXZ4vyJ`8ANq%L z)HVP1-_5P@SzT2fv3qIx3G7~dbc6TJoz-<+E19I{q>Q%cPj~f26@Z{Q-MdiY3=ihy zBU*h(VIM?Y;PvmimKhnB)Ngk<3TuHna>YARmUs?vDb)$M0i)^q^~4?Y99Txiq59g5 zxL*@^=gC#gwLsoczVDvHTC%^*zdAZ?-pqYn7tc>zhSb@Pi6t7MdWQ5S+cAU-nSVjt z_rz|Dm)DCkZ(Z=}<}(j4=|G#Ly4^(~x`+czUf~v+K!360!TB8ems5rjjR^RKN7%2z z4=-c%5d3|Ha~Q?|*l)X0w|9gEh7Sn!ni#wIG|Jw@micC`I?XJJT-e-OlULnR%2Egz z$geziFf72#vb;Wozt?1Wlenq%Ik?|9g1e3H*48|WPv0lLt7A>9zfG-4*^*!(xAi)y z)mqJ_;GrI#N=VEDZfoH0q;H)f!ooYsHZP|!#UQ=WX;NF4GkOi+t~N|hqkGqfq!ZY2 z{tN8Gq=_OUxb@Q8y(g~3vsfVoWqrV$AWlp}s#tp|$Z4i3W@$+CPspD68eP`!EcH3Q zz#veS=Pup$1x8u!WLXDGq#6!h$Y4HqOeWnx@B28w2RbmJc4bq7kjza*W>=ka>5+q= z^Q&=VsudA?Zd)rcj8zG&BCwNVQ$q|HAft+0I|3W2+kEGm_cyH9SXy*irXsa`q+Goq zl!!=1l=53LpKO{Kqv;^#4nE#;jN5XjBKp4VXZs=hLW<)TBPxFG28o-i>%!e9C*OXk z>Wg7i8dU9-N&|1x#K(82iW4SyhxaF?g;WTM3EJ~9k_pA{b+ZSK_<8+ir87>|4WNdY zuiUo8)dskA7dy^-Cy(sql2eTQ+CrY4uKolUPF$U<9UtSoO}2A-I$RqoI31sjA)$!h zQabcPN1hGauM{gIG40^365g8OZprN-MFjHUZL*-^NNQs@;G+hkH0Mp@P6%m7{nou? z6&cNf{3`%%g$qeV@e*Y(5CfsMk=1#o&`dNj1!ktGbbr540rcil z))u8Yy0^A0fWXL~)2r+euXP7dNlHg1@goDGpJQoDf#?FP?Rt25c+0?Bmo1FP&GtjJ zP=CQPV)l!;oy+P9G?m)7f5k>9P)a=fFGBPENBt6FP#(Py@3|8Ba}$yBliwzityDFG zB}(c77H!h;b$tkl*C9s zAP2nzolXR!%tmAO8RcUH(LB6uHdpmM3*dVTxw&^1xc+-w7d)uRsmplMV~|Zin}=k?aIzA9U?>yvw5GyIJ9O z??r2;Bk!53@($I(98QnS-445Zqv+NZ)g50g1OFyLfXAVcAt>EAO z4>Zc3R6l<&5`c82x_|WJTg)x0p6#6u=F)6^F0_*Yjgr}mX}=7Nc=>i?WwHR2+*t=E z1r7aN&G~ngUfRh%`06^uyns)yRShZ7g`&U0Y###OK{I{%mq(<@3q@{aAOTOMGvbE} zKSZR>fOLbR;`g;-pggstzw7Vy3k2w04#8fWZ&&m|&&u*2xi~v-`w!qA#IMEn|rD^8~?9(H8nn}?9JD3=1* zV<=>P5^{kdxZDZ^<|Y4i2T{RRL*+|fgHV2`mmKIPGZfm zSlhFiq)L*6@Qp~}9}iX!*dD#fz#Y7< z-Zhek{{f3&coOt}Vf>CB3;@j{v#3_mM;uy&zuRlv=rk2xxMV&2!Bv5spdp$XZVLFb zbD&hlUgE&MbcU8YWTt_sVPbRpbI&}YS@i;0h!Ng=SG(^rPLw%(*7ds1un$R({L5sw z=UCYFYjn<8Vw^UIV`Uz*Q?7JdMge8UbFT^0`I<_vvE@+jEZk?X?NxP*^&eL} zYka;%cE_V>V3wO@)sN1sVy`fbj=S-!Lh*L!sE7hm6oSGgxudnb`#4|gOi{M$R z&gB(1CDWrl9TGK8Kc8k5KUcDCo!hCF^-m4WZKdd{M3`QQQm%{fmIJ-M&r;Pi$OU@v zSt*D$FTb`gqM+WEI#OE>?dREAywS-*i?*Ku(Kga7Mnm4vGP=gBsEiIWgy>Ho#ylE& zuyTU{Ho^U6{fBdvUH-=oSV>qRB5%&nwZ8R`?aHe-!F+9#=qmltXQ@ZHU3Mri;;jd;?oj zG-?4T)~TUpB2eVb_2c{%-`Y{FXagNOfNxguPL@D{3WI!dmZ>*qwV~GCHU4-0 z>X#?Q7GyRrRtbkUpLy+0i}qQ1#-ii(ZF)h+_}~wfE~s>dM-UF45zk{FcmlhKTbLz9z((+(U;0Ftp=fKmKO8;9|O ziI@b*pL^|?toUJG3LndT^sO1u9i~9LII@K9ASl{*<-?$r-x>PctkBX2-?+K;*Q=rY zceOKr!U2rMY`^lyRmug=0?*iPwqPC_JeT{Z(CLa%1#6gwfxecnN>*KdY@2Hj@5MAQ z6hyb?{xANlXL8ub6$#@REhU{|y$0}%ysQ10bc7^fOw5kYo;Yk+3h)|u0jy(><7%qE zE4{5<+9IV&DrijdlWp*SxvU}>*d}OZ?26G`y<4jfAKY=HF6ny~-*<)tCoFpA0*?LF zVqm^=7?JPo`c@=SH>ack^7UbaIP0bo$IUuB@bzPC)%Ly|ff0}~c$C{%SGXdCL54oK zgSy-khesvKvJH4d$H8qa8JT82c-bBmW!a(MccPJZ{l6Rz9qB%i7$44T{=34Qa0m(m z-h$Bb=p5Z%)R3Xv+oc4%?U`OXcp*seYUPtWh2e|#B`NR`;Z~PQkCPLjzv}DcSI^vwT`D?ve6+#PQQ7slVLJ?(UE(IMV1m2pl>nOT zLu6DAiC_@&*@EMz;wpV1MrLvKa(wED*TOz-XosxY^?9V&R@l=)En#saaProE*?nP; z6*d<^Buo%)<2(c!!VI1e*J#&?TFvjZ6H?lc*rj!TX+*o}Gi<0M>}M9dm;R0}G7Vrc zEY%k?QAJ7)FulDhGb&08wYG$1xxN!DLs%yo=9MY;IgMkkqGvD?>3SQsO2FgxhH`B4z^cvj3uGf^;DMJ7@p6g}=l3<=f&VIlXUpk}zmVjOA zD@H)dwEtX$;S$3u4@xB~EKd_Y zq0qt7!LaHB$qT=y1p5=)ra5gvGnEjY*MP0f8Uj$Nu+ZAOg=X7;)uww zn+bV8a#o{d2W&5-uVFIi3P-U%`#z@^&zd8Xq)|ujn%2aS9?#)m{b2oOm2kaf5k|y= z*@8VtMZ$P#Rqe#}s=ryQGIlB}uyg$YZ)>$z2&c_-uUE92dWTo|4(w}E`TK$8`|;&2 z>8HyuL^qjJVmiooPdY3;-}Hv(2_i_|*9 zwh&D#vFsLCT_(lInUBoi3B(J(W95Rh23SYXpZ~9$;%vTQMN9_Yt@rz4wg}31Q22Lk zN|K2fc2!P4%m=VwrKq9RQC)+Qdb0GI^stW9Oi+Y-XNT=gWr*8Spk^({MjS#gj+V?D zy~|??eXC-m__v|DeOQNFOg{$4oPP~b+tBHSFA?MT!2esm;J=J+hlx%t8hI~5QX7($ z2bWTkALh7x=CeF==<}?fd{O?(|s2s&FUE1_?c`UGDiRL%9eu727%r!$#gGLdfq@#>L`X_ z!_NjK?fSr)8nH0Cf7(3(K?s_#K~>%sye#a@rY~}LJYhEXvwW5&iX4D}XpxUq#{wsh zWZeA&sb`>*PN|H$(I%ExS^Z zKe7*U@Y*!jny|(EXe>vGR>qiws0#|YOZstqb6J8o!9a8}#r5`>@ z;s|#AF`^YvMr)?>Eq8q0I>$@PoO!xrrVXX3hyH3nHycrGyA?%X0`Xe@#BRv9=m(P2 zsy|Yw8*A{~5;>`u^L&(>cr9^g7!rOk`For&U8ggz&65B0iUGtQ-y*~16EtC)w*|@v zfj_FD+EweV6y5lsN0FCzHPwgM!9n38SK0OQM|yBS%1Xr>Jvq$Xc5(tOC~f_n3`QSh z)#k(LAu-Xpvbq}KS&Ci21(^%QMt|eIB$eb8$B9k*J}u#@xj~w{aAtbq4T;bD8L<@v zN-aR>S+e*vKlH6te0*5W4GdyH5#C>t_i@eO^Sk5SKfx(Zq)Tm>{mw8C`trs`D=YxpIAoH)27S|IpasnUe zA<*p)o}9VU0znbvxS!i*X5jZRZ?J`n&1M@?IllzfFc}Qvh&^Y{a`7%R15Y8iVV_e< z*!~JJ6KaDBh%wYjWt4ysfA9X?s2mM{6@dV)eK;0)BGUs01|mXzDj2_=tN5dl^2mx? z%p0?pmEJv1A6x8ljv8LM%-bj>?c4#RwjX@PyCk~VYG$sudHD5X2ok#ztK~u-==)4c z%^v9WT?U<9A>}6k{2`X2+2%F$k|>%n>S9Yl*WB{a~&b>QfRNf*a}c0Z|cr@9#`VmZ@3qamoVbf zU@1HqPIfD*0whT%dHv4*xuV^`UsajU58t?e-tu1|d3uPU-P(!lzPeye)(kNyIg7^! z?qm$3S+sjAVo2Y!SWzAG{tSqYwR0yhjur#Z#%UkmNx#<`+$mLx)YW?vvvXURweuUk z2?X_swNUE2!d;r|cJ7t(e$S!q&-;t?x1(F!kzyWl3K4$yrKB2dy0-}0C3-Tw^!f{6 z-HVHv3m7qLYgJoD*XFk#8oWi8-?toeW2Z_FmWwZU?6JM|Mc*LIdR{?WzkqtNmP+RB$+$q5H`Pjne(JZ1 zJJK0HJ`0>4zt^1a8O~VpjfPiNT;WrcbnrfK+30v{ZQp6Y>+{NoQ&T^so$J0*HSn6( ztkse23SMP2Oz~Zm&`W><=C=_=NKkBP+-uBTn&_;p_$PiiprHN3Lq*Q)xAmEjPo);= zdN&`>3-+CILP#LCi(krxR}X%_TrfkD$i)w+(RhPQON|kLXp_LgFuw-Rmpw}DL;Gxj zakf|HTvG2>w7dyz)cD(+{`;5MOWl#zDAkx5kJP-TrS(mDKGtf+t$lqxJn0bRlO*++RQs+*KE=^ZIlEH=+ssIOZnh#=}k*X=_0UZNrR12 z$4*Us<-Z3yK6X79_I~iN)qwh)g6OO|7wCEHOaU92>Zq<{dXKQG6j`16S_O&Os+o%8 zm*xMA`tm*mw!no^A&`;|oG zdD@U<0vTilkhXJ9@H1asghZlmV&Q&ff1dR5*J@BJkq#Z`BcyLP_kK|LOQ+(m!z;wi zPA$}ULw2|J9)5DRS@7)LRV(}MC+a^@ybHaiJ^OyN>`W2vW>@Cb31VIU-`@NGvBy>Y z$}pL`Mw*$sd2_Dp!Ra;3d){vrUjoWCrVGT|lOD{3jyO?7?wWgYp4)tS73YzAMD*zb z&F2jig1(JXe>Nva8#cWiU@RXl$tWqQNciYfB5=$mHCSms@$*kgr~@)YD0l-Loa@-z zW{F;0{rOR+G|0=x;mC#yFX8+rc$9fGtMRqv8L0kJbp$hzE!f(Eu=fTSisrsjo&m6d zMAc+RPGS57Kczjh<|Mu6+OL`L=A;#r!-U8+d}7vWQZ!|P>)0o3=v5`QtQyf62Qz4< zq2uiigkO!~pzFuu36(JZXiOja9;S^zTXP`?N9&mcfqcO?Yzco^ULAJ`p=t4ZUV5ML z7ArXzvd^jtqDRj3oh)^PWf%AY=vJAO z)c=ZpThUAU1g&ts*~Q&&yo_i&qP;)Z>pt6&Z|FBexC%QZ?z#!%xb)B~EO!9n+>v*$ zt1P|hMzShojDb_4LAvvh1OwL&{syNxGs2Mxa4U&|h}sY$H#c{ul923eT&r3~NIEgc zyx6}AbnS8z&Kb)Lc(l;o7t#K=oT_pxfbUHgDd@M5@()YQcR4GZYNa6Vx8IE*>SBlB zLGd~;PcN#GRHJ78$7R*VYwNFa95!ra$~=sHAmFuAU039ULjdlxsMn1 zGu6`g*Y;p)?>D<=O&6p_vNdsKh=5Hh!~4VPGjfxnI$;9(6KJ3NbC}-Or^WTdre=K( z*j;;mh$_3BnbDioH1Nby^M?E+gMEF=D~ zA49C$xLr@-V+CUkq{&?$WD)j$)bKN0|Hlpe^;@mvKR0se9s-cpJ#}|C{lNQ2_QVlY zWnbO>e^+$yQ{MD^quhSXFyZ@SomGAhBr~jLuC+C^t@w0jVn0x8OGeFnU^5sY=y=Be zQkD1ULjd8rN1=QB;0?9pqE{ngt7hZDx_7UJWYc*B zJM6nv&?E5Bckmf5CLaE6*8a3>e)qJRLB8nSXH<9JNTE<8eIZR7^d*^z%>eCwp;Zpm z+O^rIZy>V{`u1RyHDfV6DWFMI_m`de&=UGs(LNOVnp$(p zmU0&26;P6AU7_HxiQZ3^mm$XtOaKdsG4EpB6|SW)tmqANv7*XY7-!Sa&6N%k8!oKq zR+M3XM)KW_>Th|*;vZKol;TotTmH5W8QYrfVU!>Y?Qb+AYVz4^Mc0?z&yA6!8WmEC z4!oy@*YjXn*9jw+=f18(87A;iA!w!__gB3Hy@F7>8u^d<1@Gkgx45Fj#pNbRx^V{Y ze!Lo)F5Ja#T)B*kvLSqA#RZl+<{9vZZ*sph$sI7^={{urwx8vIxRF?>GT~OyDN0+S z;tmddrpT`TzU*RMc)Y+$+$mEZZFNeHF%EPNzhi7vimlv}uD4cu0<&E0_SgLR z9yWjh4IQ@hFmyn87Ulb;Gi3le%+S(3r(;1@5Cy%)Q3kaM>JIU217#Ea+*=+Q=|ke8 zHu`mG^Kt&g-TeTU=U=^9CzqcwC5an~1ZY_3&C#zNzx%6W#I^?)?8ZkUl$$}lcnk5R zu4!WN-K`l(9ZNib&HX+f{}_;O8y(!*vEvjyiP`*iXCfUu{kaGuO}v%LfCl+KPVV}f z-EFDu)7tSzP9*HD*~%|9Nh9}(A-HTU1#yOt1hkO;!6DEN+bP&Rxi_at{Ql`y#)kq7 z<}-TprUtDv_;}QA3wGgC^K&xIOH8HiroJ~d(miXg!aV9)zTx#43t@t1fd%`@J}M*9 zU2U)Si)r)^l!^}FzrYR~+R!VS^(+hjN)TAJB!Y7=_3^P->(dj^`|y6$Lu{QOh>BiA zv!bRgAFgqaaWu55DdvQJyLhw|Y)3l)$b^AeB><3%dQzuCxVh%}Oyrrh$;P7f+ZI&2 z_He8LKPK}gM&G&OlBbKLz%N=@?|QoWONVV*5cdEDQHkXe7^g8cQJ^t$u_3)T+gzb_ z*7!Y>n!i~B%LLT=8Cy@^QGrC$UG4C1;g@8;<5C;Av>%yReCK(k5ySF*=!r(2dDJm3 zZN%UI5juSgUgc@!;no%tDM9bUNhJQqpiSyCHL?InPJBw&*f{(9QgF`CIoAe=-DsLg zNwl>-UBMS42u<&Qv)_sB7j|o6^Y8ESZ($oo>~V|Ee@CnOHFmf8|J#ET(;YGM^FNX$d87;{}*@X z9Tmm4t$U1sC`ghF3X-!(R+^0D43Y&zBnwEA&>}etG)a==BpC@3nj9Jg$x(7krlFDC zbiHElbI#p2jPc%m_uetid;d77qNrL`Wvw;WH-Fz;Jcy2$B~55YyIrN|?d|Uc4r8lN z8-ZIicvD3>kLHQg6T#t#0w39c6E}z^No@LVk*OPqQr|M`A*Uqfc&Bdqd7?5mzREfp z`eAxB6W04Z?1_muC_&Gb8{Bn-bVd*Hv;?dXy`;{DytzwpwS9l!sfSS{{Rs{51W)0t zu!2EUqrLKcxB8R!{=P!i`t?U6DyE0Za}R!EjH2hbL7h5g==uFR_WE8B9-|0`q7FS_ zLCg0gT-#PrwAnHts1s)eEDDM$nUcUbL>Dq<9b5ShlJ^)^O#Sc`$?!=}wwt|cPx&gw zC!%D`0+Fhk-epZkc*1lLqn^`orwcfMT$H!++yi2@9b$oFvp1_Q{)Fj}AE|V!nT>0v z@zpPtySf4vn%l~y4A?v8CM+dLAe-)eZLmaP%T_ITh+8c73jE#f~+<7#!&{g=5u6@F1 z5$|3FKH#KG{JC-REfOzw7bnxtadp!*+ix*dV`U)>#8=op7?`$$yL6WTG6gngMn{ph zgJdny#2yB@<{OLnenOokp#dsplDC$1Jux$fZ&x=?;&eg`WiheghYLx$%Q5oxL!Tn) z-eTXpXQ*Lo6n_TF){bbww3)(ipSg<)#9TS9 zCT;}NRE?bRi$IsrCMPEpEX4Mkz9E?QCc>d?6N!=Ys<_s27oRy-*^E-$@YVf%ltyvn z_Sap-aWSh;VPg0rU8xR18X0ccC1qM8?84t=)30~H)1h6;4UDgvger-D1v&&7V)O)7WtcS2; zuH)*`#$;S|KX8JFbIboCJmVD!{*c3vaT;_Ap7btm;uH~^M0Dl6-5Ae zUsSOjx6sC3S0keU>+-{zx^MfaOD0FVY-wD5MjHc6J(Ut1{Xfi@X?POpQQlIS4CKq>B#%LiX2b5yYxg` z<8|B;Hl^}Y3gcgl0TIQ3uW{cbn_h8G%ByU(55xd5bbkF?E_HU3HjNQ@p!1&x!b`HT z&VeJ==leX72BIQCKAYlq%Y{yezVW_6aB{Q_tDnCQP2YG60uxF+i0T#HyfmPXqr9*C zJ->8=_HFhXuY($CJYJs><^fva;`CBCwkuiem|$ETTBz^g@%Czs2Y#7q)!NAX?YqPqL_ z984@}Y;1P+9ay|MCyl);=Jy4Fhz6LwuW;JFKU>a)dy4a&cx-|1NiX=MVqSE{Vg=hI zGuJ#%8fisk+2 z*?WezpgApqHj-N!M?t@Rwmbj!1f#ZwGNHB_B;&Z1YuChdRhX}oU6*9SGGRexN!6Em z^OWxsM}8cR{?*T#K-u6~fd|{^`(L~UOHy~%T(1pTO|*4_x{odx7c8$AG|3pKORy~p zGfZ_Z_H-}T`wHdS=E!W2_tj_Wj;G(pzq=RXJ3$Ww*mO} ziIeY6i5{?>oAY5IxTxG2+Xh`~IiB@mX9d^UPz^2Rslqm|-Guh+PLG&>dGX=ix`ss{ zxC-%l^4WEL!=&q?Tcjn!!J^zu8H)@j!7+jKB3mc3`;M$z0MSshEf)TpW?duy?08Xl zZb*F)q_W?Ni@niVVeVW8gkN14nRk){;Xuf#=$(4g86WLPKC~-)>(k#){e(wIV*vXU z#$u0NY?g{5(t~CrQ_j^@d_MMZo15oHg)2R~@*#0bKRS2r0TbKrp(o-Cj{$-$O6eG4 zC3@h>TditnEw1TD>$SaUibU_bC5KhU2ikXqZe6nred=G)pY&n(!~xF6qtXAKW)d2X zf6XW8E3{y5@Tq!*s@Kr(i<4HmN}X0 zoW0gx-KgO8W0~W6)5tcR(%o7%q8mRCCMROKy(eZwTkcpXw#tQQRY`!P-(y^HuRyK) zWN&`4M0zf=?@rvvZyMou^i+9xSYZu+h0_tztdHHG(>3p%tc)c^78UBj>Sb9@u+I|m zo+ONflO*+CUtlPzf)!{PYA%zcES@vzCZcteIF*bUNqx9&N+PB0C~#^$oQbLS+3dWY zinH~1S@-J<;MymsUp)y8B1UZScaczZR6kE2(^>;!otCO8nTdhZW2mHkpG~W*gDL}N zb8_dy6HgqXx8R(VhEJ>Kg8d_NLFfnUiud%A2{--OE>S5KsMCkOy041wd(<*-c34Sq zh&S)>GGGsF2k`(xegbQ#^W#@X@SF}sK={Qplpb*PVI|$bmM^F4>^!_-Om;mC^;oNE zW)F9A_LPuq#MOI;0W)j;t=8n{rek*<|4E*lx*a} zlwW>8#poCywAo7wU3T!S9=mzL4+w~K4 zfIb;UfvQkzSvPnbxGNZXJ^ZjmJ~vM}P&+YJ&RDi!53Cy}_C?N1#dIHf!aw&z1IY0C zbU0BiWRp6vVJRa-Z z@|gOJ&+ziDe&@bf*_nQ}X0Q0dUPr>{&_1E0K#x>v>OW$aL>iX}St_M^}}UFNNl zYVZEuC3ts3C!_VfVzTo40hId+P4gT(L<(#d&oP)XcjvX%gG?y>tx0l-H*PD%KAn;T z=>eV6_;utLiByH!FVo3BLf6giu=|b~_j5EictMhxTVxsno=|Kg3!ucTn&*y}#bIM* zdoHX6GyKjy4ag2AxQRj~G^8r=G52uf2n*9AZoK|d_>Vy*AG0d88kYp2?*fdY(u9E1 zOAJGmu6U(qL=^31`t;W^nP>lyB?sljxwXuX;j<%UJs`Rnm{c9o)tENP^_B3>W;kQn z!{|E}4-G_Xyi+avdXKKtY5Ave?j0YziD-sAqm=bzKklkh=;kSxD`mu$Q0C3b-<%jT8Q`pf4~xSuJMv0zU~W+58~K ziy@}&!=Zy%OD4mHY|%e%)6@{tz(xcT3>Olb5_DMu5(27^NAphgn{^Zs&0iWE_Lz7HOIh;Vv>#LlXMuxA@!yUZi(4ormpPe2SdJ#q- z`|g_7Qi42R5^s~b%aDbsv%!@zwFsBtz`7L@GhVxXE001`7=S%E; zeoyn=&wXg9wifYA)jJn^!p%9kXLU0#x|YR18t1(Yi>5bY`Q<_GySdh}PO0fPAb+)? z+-u>-etYrfdCJqiGfIy;KpXYM!Hr3ttmD+!8JB+$827*~Y@Z2ZddSC2 zyeN8t59_h==K-^x&GAW}%nim!bc(sG>H5D#l(cxh-Jq{U{htvm4R|_ z{UC77;7Pg!aPC>w*|$T1)Wp;Yfu!wO`p%BU8ugZj7(3f<##krEpG599+NKd!R~NxB zz6z(a^gB#nLg)-H(*7i}IfA0pRCGz(^mK6=TYSHu$dlAd@#}I`XJA4APv-smz2t9B z;9|=FaSJD9(tF-;OS*2f+6#{v&=)`~IR;64vBj1^fNb#Itv+Dz918(~Cf`+~7Lkvt zaUF7Qj(tfL!^GV;5Z!Vp*=*|p_pW!^*jk@)b9^hZM!AQ)Y2R zeVZg-n89Q2WtH&qwfUuR4k?459gMw(QeJ`UjZF+9Nv~gDISo<2nbCc)@bwhqTAB$^ zX31JER?A+{VlBZ~v8^X3bIE!>e+Ly?$~19HHNR=OE!9CiX^eL1 z+U|PTqiNVA2ai4rTxLT%83ZI9_a7oI+6Sk+osM+OOkO8UHuPL~eVH1@q_5gZQW3U8 zC|*bTb@4OH$&Zg34TuP>FX+}gK)k1E{t0x|XrXS=NalTg=1QaA`a11-Yx>5M@e`wv z5x?da0bztB`xV}V3t2Chiw?%dDZ;oX@%89gOzUK5uOoI4jVe86JdbzKTStI-lYni| z{m&S8q}_>@wc12hjpe>o9{i0Hwbb|$N8Rh0lm^>qvmP-~Gp*-k*K|x_76~yc3NLte zFkpY0-*G}{1x?MKD`;{FjMH{t{Gp>O)F~Bt7J~P9ze#$Q0TTNp;U`wfykW2eolRCk zYh`SE5f73Lo$v-z9eytTX01O?cox!XY-hiz^aIOqZzfD6UbQIV`k#3-< zOgON;Ooyn`+)+HF7uEM-R$Is#JB?&7Z%61&hO2r7S(g1;DZ6I=u{yb3Hpo|~^I;2R zxOt^PmSrEVe&W#GmJwcrcDT`+**wcTPNFhvGJ{nn-Ig_jGM5DPx9OiPzYn|=2z#n;)y>*?=E|Dcw^Q9&Ol-kAVQE*iT>IP}E{VOtqvGe0+KP*$LqHM&Z zdh~iD86$YcAr88pq7D|;<$&|WgC{=~eV^_hFIuJ&q*UD$covca9&(5=YV&CN@2pgzOATu7fJEL{~pVmwp6y zMy`NQYp4h4#8Y zd$45!%}y_nK-Y2~nhw$O$-y~6e`?lRMyo55keSZYMcY9bwNUk8oK#Td>@Xf|m%{!8 zIfO=<(~rlW?%9ZG_)gIVsCrA#Wb2GsJoPZQKX`KIN<1{I$|1oDH-#sZD)z|h#5zcZ zY(9H`?G(9$REwVs7ddd+SgEr-lsW9+F@if@;NEwgQ1oFgEcr6i&_cT~Yn-!0o&vZH;YNiPA=U69GM zX@?BA7D9~_qA91r8RP+D$lZ>18UdNaC{-`HE8>{>-rpOuEi!$K^NnZv^}HF}9XF${ z&@Rrpvr$AG?nzE%jbR(=wgpCQc-ew?(x9WQA@7!g1xA;3GOn5KR*#t zPE#`?pcN8W%PhojP9-ovxnhk8zsIB*bFy!KYA##p!5GtYADlU%c!aRNyvDGN&3U!q zPiN``-DcG|7@v_^+IaE}q)Y!8ed(5QyiL;7#O+EinL#)&%c?h$fQEVKzAvI`yu<)_ zKF?MLrK3`=H;jh#JQ-!b<@6d;J){EX$L>@=5D^pxd)?zi-!eWVdBjyopi`SNONa5L z>V>ds8t}nVXb>*f7GTVj&L}_wgr*uzK9a`}f0}C9Ci@eV|XssCr5dNIF=Vd?TbU?`L{n{9Yi_$O*Az^}@ z*oR(eP~5-FT+%*Y92nn*$g>%U#0v(5RkD}gwN22sh?`l+l5MNw5s~hTkk7p1AN%x~ zcNVzNVMv_SZ}y~Vk#+w?8m>b!Sc8X@mg&sfI0_}zlsf`n(+WF^gsH{0m!Q;*ZgDL6 z;I8CCUUuwu4PT|pkGph<()@KC0?v}Es-%Y**tLuCku+O`eKP&@1T=sJkTo+o93o0| z2-p!9#^`=(O1}+(ka3Du6^6;2YnMY+e!jl)XjZ^Uh5D7Pkft`@48zT~!DZ9^Oc0<7 z)aSoA$)RlPJcV9mg85+;Fz0w|dhT8tqMHkUjGzQd?K|pF?l7maqG00eU!>r;+I{Ge zU<{oH7fiU9S@O~H^Uy9+UUmIyQlvBBo3W5}eYNNj^6P{j{HMKxLwj`QooUBih%!K! zXF@C&t4aYn45&(0cpZ(dlyQ4*-__q)A^aImtOTvN2Ig92NqS^ctXW6!m<4%w5OJFG z4}0~e@af;PUZG0naBy1+=k)71@n>QXq_mAO*-jU#lZ$K7oVO5bMM6Qk!4vvPc|HO5%q^$ue{_cuWv<0g57 zFULm<-SFLOQ6W$wa|fuI@8opXO+TC;)-q=&AP)`i7zU&2&u)j%8XyOYJaRII=5bdO zIMqOW&*O7s(huZjIDbw_=3Mk~mtGajfV=86ePa_UHW}Eu;I#{yIzPo_qcj0C%2p~~ z;!4V^aulX|*vd__OA2>`WYiSvx`A0@{jP+^ z8|C|qH{C>S^2?Ims;I?#^YUTK*DO`Xjo|UMCcsi_C`w4Qa!k6I;*4zd%kOi zIC7zf>v=UV$u_dZ9QEjXfwWV>7HqPA!_1F|nE~6lIk*^gW>)-hl5kdtJa3}nU)r^Q zERx%3NA-{c{Yh}YWH}`2? z?}=lE(t*(DcXUEOQ0_Avg716+YqGU7RAMFHZ%U`sz21i=v~tjsKNp`_*f>yV?s-2V zqku=i4~}G5fy;jaQv1I80T*a=#)yjH&~0A*f*B}uf$Wy&UvtRd4@bV*m<2zu+SV)_nJZ@UO zGsOM{jWC*e5UDXJ*DcRR{Eadz?Hc>Ll{1=2hRq%ya;nLN*U%G60VDCT4`XX-Dp{Md zp9EQP!iTYuN4VoJTEdM}c4F=!mzwx?g+YAdI~ap+fpTZ(@DQz#Z(|!rIVgjf25n2Q ziY{bvCDHJvGErvb9weg?aYbyJPh3g!n=A?(yI}h8*5A>TeyW|c*GnI4u^1x{yKOTO z=9Pl@CWO@Czj6iL!xVE7wsJx78V#+njncNr^luf4sn5)fG?yOg@Vr?q`)KG@z+*qc zqBW(bBZ8kmK-<8Xt2bXnkXjQ)R!dHm&GmjUFZLiI4S1dGM>@|7t6|H76m8+UtQCj$h zgya9!Q|U#3L67yZ*_MKq!8^SMnLY*|VEfptYW6_;0;d0|)p5(8skahgmx5N}M^@7z znn-IAoFB!-c|S!takNY5MB%kTTGbZ*^=ax&o4XZc1X!)#`@$$fsKqXd4Ta~xS zM}rYofPOdfV9WpSo5yOTsE>!OMfpiO5^ThXczDL!-gk>Sqskl%Fg#@QXs7tgr*Dck zm=lPU0@17GtaMfMBICc~5V*|JUZ}_Wga$-uw(k^?0VG*ZPPY_8zTm0}Hs_+H!ZhZ^ zRhwict`&ST)jItw%chR~PhGYh>=&fx-9Mn72|-AyKhP`^%=6XDZ2G?#X9U=;2>BpERlB z|K%1X+-OMtvPnOmANZF$&ir;K>@S-{PTK8%dG6{zgFFAS8B&*cf?lR4h3PW<;GdOb zxU=x96|DY_fKA4n!6tKR5q4&;sj>pwoWW$Q$Np7CIwdOh<7JS+9SVlYc}d%KA|Ny$ zNJ?e!Nn4PuxL3C{4T*zzT(#9*H0furDUekyTIuKM$Q1rQL`(>@mo_L0!qce@ROy1b(LwG zTVclGoP&WkT1hYq&-_eQ=5gX69lKei6rUIDHQWhyhKY z`%ri$vwsp>ThdhgQ@7>0Pg>@kE9dxM&|)(S!`SE}j~aN+MYGS2({Uid#8%Q;y89C- z9C^mzmY|>E5vf`w6Gl_!D|Fq>yx~QNOXZ0`q(<3Z%d&Q+SL((&cJdc77);68L-9+R z@)?9mWr}7+H)H%^)h__B*1!vJR2*b31Ie0WQ2%1#L0nUi=t>YbDdGC>^MU`jJAJu5 zgGsgg5^#0?&+X_}K-;rEz9RgHPH9!$FHYM+nMBejNf>k*um8~2dq!7>mi+JZBL82s z5H!sH&p)V}UkI7O^5eVN@NOq#dK_zl-(2cB2etojNrO&l6-@=cAJ6#py}oaPu>C&G zz^`8ypBoTc(KPLbIcwKJYbwNLD*MSgpG$#AcHd7yg((9w#n%*Zpza+8PT$ff(!Y?& z&;+R-El{ld8>961JrsoGcP!&V=QkYc=}@2$SUJmVd^^#L*~}VYvoHH~v^EyiWM$_IY>o7TV(c6?l&C(*j^A=slJ!(z@n8Z z?V@hg&SLygijv25(&GGp0}RrlLKm{q#Qo0g@qX`su3lUAInqa$rpg~FsRs~t7Yo6d zH#QvLIu0z%cYwdetd`uevnp?+1~L$Vs`X&@VTXwEV~#U-E!-Fua%_g(C!oz0Nk7D4 zJ^_<3ZlD_RmTiX)K`S`(B4LurDA9>wp>|G~&4z-vxZ=HA-(P)|;K#h{&yBd|7i5;X zgZpfRZLFV%p3l%G0-Y={sW5zr!kF5_`e`Q2ITPBX<&qIi;_dLFG$cMQ_?g z?ECJ$0I!yjxB*^%yjXz-Vwnb>lR3;~XP(mB&G_Fo#~F}f>%J(MxCinqQJ#B<_crC%1}Rm&@c z-J`j=8XAn+mtP9H?av?KoqlM~&ZA_nj1)?OpFtF9!Qcb)F z;c7x=v7Fc+{Cmh(DfE6o@6FGXe=}jF_2*K7(|d&rW&LL#rB(@U{?)$+a!)R0aBY-y zh>J%<{nEpN8nvOBm6(>Br#xb?6E&oLT$Bs$S7RaC9q=2`SG%bDM3o>IYHTi59#6`W z?jr0UnxrT&2!I3GDU-W}I?9MHm!zk&!Qsf?r93EJJ zPe_^3e>tdWkar(asN#kGl2){59b$1!qC_;@D}y(&sna}wU}KCfEj`QZZ$)y_ab0R4 zITv)tncyqc=G%pwz8a~`K6D@JtL_u@0s72eGre_~gMyAA=f(Y!m~O&62(&_`!SD&- zeL~1QGno=3iOqa znG#D%M!y3kCM6D8pFZzO&!Gb$np?;!!>1ex!}4`3S-V}!Plsmtt~`MC7f&i#)eM&^ z7XEpdiXe40S@YarG)07J|uiPQME8XzrU8#;d&CgGP-zXxEpEz|~57gi(0U4=( zn$wX93Q6O$Eo5!0417dsROPZ-YG&N(&oFX&?7$4-r2|s)H7r>h|Nq_hf90)=y+&}_ zM4whBbz`Z;VY%S{H*V7kP)7*SVzwSiHBxxLCb=RMA??B~&$e%yKa4pga!I7N`8w4E zjUctB5I3h(V%n~Lu+G(DeJGIZdMqN1PcE;0s!S5`a>(P_RW)BQ6G;tu5y|^vMn0SH zgZw?j{l~V`fjp<6pCo=TjRt}__X@4}Ao)Tb>_})P&05%4e!qr}O%(7?jCG4`%<34XJPGP6;^1j;4;Xig7*sH7b_eH6|ikgeLIL!_Le z?#*YttQrb#ZzpSiaGGS*i<3HKNXWwv`W7-0zop0wmOILrSUz%rqR;-?mm6a# zik5<#W^;*55>m#8lbPyXCgz$M9o1{VWvrjc>=5{fP&~8*d(xahyR+~bkUtlm0h|Gv zV_>&o`b=5E@I?Lz^c>Hs8Q4yY?Ypy8x9>cFf}3G3oSswcu3I~{5~`u#MwD4EivND_VNMk;lO1u+jtEQ3eS)(Ljtfi={zs%w<=PV?VEU8 z)gzSR&^V9>$$Rc^%2B5h_|8StMiW7B->R(d>~p}~Cx1}i`Jia3kz-m!ChD(bYFT)4 zLkKVBp?~b4YyZP@u=nU2X{#)?>XoRF!b`g@FE+#-zkTV)Q^xJ7O?+_Pdh@{>63UGy zbt+Ytm?ak-?d+eRdF$UnbFj0idi%!Sj;e~t{_a)Q|Dh8{+|c(zUMvR3V_L}LHi}DI zWHw5g^kg&`T4j*HW7hj5|hOm?KRZY(O&a_ygcMrfS0QzjgBD!G@_1(H2K37Sys`BGR zLnWLoqmwu6tGl5%D!dwfvtQ`HMlPB;z3G3%$P|gjA#3B}HSe3XKhgJO%)k4Fi%-xLEnTT`44!!5PB=-s`w~2!w*-_ zOU{@mb6XFLSfP8#>J6gr$c|%)p>kDY+&Ah=J>%5Mc2Z8%`OtTR{(i+VXb`K#t+smL z`D4b%Qf$w>$Lj+5#i^2-zRO=|dy>^UU&L&c+d;7|Zu$ZdnE}v5dulHBa#>y0F zw&Nu%9t?#H-+JCh{s5UiGgVxo6C-fRi(k^IdHVxy3WBm;6JcfFl)#|tF{v9#;%>KE5OWgj!{m%A*RjJ*osiNssIzxM+}hVP#2OUKBPQEWp8F~Y7g3x{R5#6 z1*aGF!0)8*o>+O=lg8J!>=WIudB>2p(3Yn9epB|Pb*3J+lqCELE8Yh0{w@kgFnjsu zXulC_l0)pDfV0fCZLzzBz((~0x#-9%8eon`#VhLiEcv^nx%6t`bfNw4pe+1Uq@vRW z#pd&M6_2?^qR*5K>)N6AW;QFA(cASkXXWzjod_`8}(IiE7fYj^38EGpqVo&het0)nBr`NJ7Xh6F3}m}3(FOG2j< zBi;fDD?|E^1y?*>8Y!~ZHI)pB>l`lVFJV7@d>@-iWWVgz9o6N0ZvRYIK4e7wrH5D> z+M8+HXSb=|K~FF0R^+r@o=hKmxsULxYucs_GHK}Wc8WFO?I@+u%J)!-F!pk#JlZNX z7Af+3pqtcr>c&LY9SWwpn>Q_f=`(Tm5=3CXB#kGqO}IGzU7!gG#)(S%glk9Hkt4V4l=icTiT{=4A0MW@=U-=Vk_dA7(rYU zvsr)WX@MZ*|8o~X?9(C6A_&A_eex#k;eW$Lm!8qLfxNQ!ol9JF_TS^8pU`K!2N1z& zkmeL+O_~oi4;dkWc!Ze|V5cQ$v|bK?&?t)p*+bemG#ArT)uJct*0TB3*wgB((wb^I zAEhEslGevU$Ha;@$A3{7JO)9*%x0qfR(= z*hQpn5)Xfgo5nK|@r{p_1M$14Kj=;n<+PoH&WP#TDHNHh3SAx!@;c9rwcdQVyNZ5w&ONvqtH(VGHM*?t&@flC~@#e){bCAX7%IGjKv>OG5rXSq> zcvd_ks)O@yZ;4kL&w>=I zhBwEpiOrA4qq~;9RJ?xT0IRGGiT4>P3OS9i^f$t`FNgt(0deF^MI3<04~*}dhf^I7fhI-zDeLxoVyfN!7N zob8{-oO$R@z~RPoHpgZe4jKJVkmGhZnT78B$U|oD`fUp2@ae(~7fQp?snNiztd1}* z;ChhhL-hC3^EMs_gk7ZyPLqrmDb%^!S_YI3`U;vXbX?r%^|m!WQ3gWe(PnB*9oHuo zxV|-GjMg4YYaqhu$ROX{@Ur~xLvZc@g}h8yy{GaQmb0;Cl(C5`A)lQu42wIoN~PBY_DOJ9R$^?ZF?1__iV(i7xJq25ua z$O2XLb0Qup6T!*N18AhiviZv}e+XD@LGR6XeO2$g#h@P0f|j|nUO<>)?0g{fD#(K^ z=58=C!hs@T=M%A#v_YqBWmpDVJU2qS3pubS4wDE9V}jWqIcU-+mks8~gs}!67|Y{X z56N?BM(cT{d#ms%)TW5IjLULeIj(8k`9-ZwKxq4c=nj5DNfTQa2hrRfBy24dQbuel zb6`VKel!18{^fp#Ln8luO7&$B2O&2A!0G1b1CSa)v1sgqxB^&mheJWGpt$M*;nm(@ ztnfbVArSrrFpag&pvbK-J~Z^w1X=#F7)_w(5cE#`ZW5e(2Bd+%A|Lf&8^_9qXiZh{Tunvasx$sx;Gx!Qhid02*O;=FS$q#- zv=rdTgFX=`pW_DoUA&WeCAU*J7qe_P4*Mp?oHL9y_1!54y+(uv6gmvwMw>mCa!%~=f_XE! zKw0C^2rMLb1X@bT+tEK3H8SE-Z5zFSMDi~gg;#8}#B4Bx%;Mp~D0KCMZH+VDA&?5p zcSsV!nC+Y?XHsYVcBxPmx2zhWML-4AP&fxn0EyKs$AQ)_w~w#fD1)rGsn?&Vt0uq2 zka*2gB%i8Klu*UGBXp+-fl;wX%M6nXg;3F}7dH}4RbsY=82L!!guh*gx-#iG%Qf24 zA*g_ur`?2W-OGIiCr>SLE+?&UER{Kkw_NZJWi}k@&+1w=`1ie)8VJ=1E~%i~8F~$! zm);plqVQNLL(D`44uGU5R}ZJhevBvua_QoDeH0`KM6snz3Im1d=F9^$PG`YzLA2}D%*AY? z#nj-b@SP)dc)MqSq|LsC+`9%nmGKJM&pyfob>s654?8dAZq*z(TPgpYU5D#>K56ZeF@)h{<-S zR-rBC@hEaCC2HmPe$$Oji29lc5cwga)+K$Y#`3C227I1m2Qsu)2$J0;49ew$=^(P$_M{jKg(>${tIt+oQ2keoM~MMc_o z1Ozh+6)qarx12yMlEk#@HxWYz9?LG10%$WV!``A9_wEF;S0JU|d2B3Z__RUX8Z4&> zor5}OMV>o7zF{wv?VnNmpgGq@n>t@1dTl|`lX0D*4CK9Dst+Ws412>cB+T*YkLI9e zAjWXTt60VByS0CBX{qXq)9H^p`M}rTD2*9@3MiEeyYku3` zi`k1jS^K27Nx-3-_|7dhn${-&vU{D>xmH3x=jp)i#-g7tDY9Pba*_US4dl0WN#BK3 zL9mdMYieO#cu4IXJfsowz38EA0pVf5svVji|?BIGucxgnZ7^1rzs!$0sAb zNR4;3-8!z!K+W=WyVINI;nk-qjpIr}4PJ#&YT7N;) z>W8;^ta3)Jh0>vNZG3ks-aY>Z`{aV@fGhOWs(yZ=GFL{d-+H64JLC3fC|D_Hf#j1U zxnR}bn5vi)?;80F&ad5eAr7hGTAaG~>=GtBSR2B(9-nfQJA^!%HL}W|4u#@p{Bbs0 z`^iww#j83X&SlfNu);TER&rZU;ZwL)dw_jZ{Z$@G!K0VS@)rjpk!RKkZJW=uHI+O+ zmj2`Vx`qH)n}Z>+{C9E3a8|5!NZbZne$yZwyc~@f3Z}&^eyez;=|u?I4-73I)mOXH z-k9WjJ6_!JNV(9s>%q1Z|j;fgBGsKX4t4DD`-{|{lHxL zmA-G6RMtdJm+93GQ;)GAb{EE?E>j-BXCooZC~+`r?QjEq4w*dd2}RR`KS8TkAQ*VV zAy^;OFdAS73yJr@^+9l)iW{X7eWWnikNgG{Hn~N$EY#(d#?ZpK0QB~$2q0$0gmoRt z)HJe{!`Hm4KX#BgXubV2L-y$xXKc@D<`Fy_Jr+)qeP8PWh(MZrKY(~MI2L0=56rme zlt2k;h|}R>g`-@hl1+Ud1fX-Pa#aTskjyV4(9fQ``%jmkz%msjO&J|9ea}}RapDz? z5xS;QTps&8x3xEPGB9h<6|UOO@A<1xdYvQs=^4W88?kvq-t5c+-|9NzUrk^RPYSrz zqmS(KWfb#9td3D7C_O*`EGRk#XLx>Ceoggs&n&=s8VsKxOnjS}Gnt0tAKqOnhmV4# z`Qg^4F~o?5Vh1MJ9)q^&nxvR_tBLOpT;UW^3SHOeK2_MWrf6sP{$p?~dG?q#tllCM zUiN#7=$vAbVf}RR8|W(lvqPO*>JjKYYZ<-LlzDI^ZHBB)!<(6(QWa}JMN;9mN7H%Q zDi{~cRTz}V*t=_+J{Y}7TP^aiL~!nI3TJ{!=IyfCJ;JT*^+hDQ?LYf$KJEb?#Bt<{fXQ=eRRVc!z$6B_w<_9>i|RW6aP)sxou zX-D~K8yfd;t8~jAnPoyn^!yPyFNi@rtf9u->BBz@3B}mt3SF@ivPAB2)@y!M5-<;O z2|Gq+J}K6HVf`Y?#Db(@5H9HRf6RVyujK@D`t%Dsj_d>{%YRIkCFvgfg9;1DF9k6; z`uCUn{@(`0UW04!Ez>sw=#b|^gSgoY4+v@x!J;3l%yx~Ln*~iIHycZFr?NUZ%B>J3 z1~L+}Sp(wcB~96Z>FE?D3a&_RGzSH;?ON-1P-$ryJ}dzzbv_<(5XUk;={}xO$CRre zcgqX$R+J0)JF?ZpUA6RA_-Tb-pd~{q#qD-ijf^OF_Mb>tb$_tLWEXTMEA8I!pHx`X zP~u;4tJ3~p*T2wLQ9b{2zeRv4b!o5&znn+Dhk!fV>FA2KF;Y;=?DZc2ntj3lmcM%6 z1aTfDK2&Lf98O&_Yz@@OJE64c@P6WJ|rHJ?B6w^Kt@ z@%MiL>4*S3ro{(_426G3=_cR7Yli?cuHCBMLZEF4;vqicHpIgwat zG1@XgzcGU1*`@~KS%dfqSpDqbPEmgyA-y zmO=M(GtpDKeOh8GDz!A1y4||HWWx7Tm_@0Y?G%CiH&S+vurZ#)Dm=UGd?K-%ifkbT z?blx;vi4lgc~LJu**X63cZxd-6CFh!nW>qbpezM33Imr(@=F{RXC`pu4^i2<%Oh;d zgKdY=`L@O3Nsno@*g+?20pu-l(FF3l9du#)$f*W!@1Z(o(CMtF_OyXLq;k!728MRj z9VE4kESwR}TbVYue|#?cd9?Q35eNn*N3j>kTXafft&gUdIS&-2Hs}=Lgr=={%vQ!Q zR#p>cqf{fdKqi>DK`F6P8+H1fC^S;}=v9nm;0R&h-BxgM`eU^wnK0bI)Ip$~OgS>E ztgr^m1U7Z7Xq!?*=$lG~Jc1v* zuqOB>H90l^pbzVR#kfcPU5hyi!T5#TKi&+<{bC-HzP6%JR4trX##NEj14kXDdSE($ zR3iYK;$w~%uwO6PFl~?x!wkDlaQT5R>+}d^SPsgH;M@aS4l#bpzVS^vL+5_ap$D@B zu-_SZ0Lg&#A2gYKJD7B8`4m(LPWFT=B2HNc@=67?GkWltA%`bp1&(}Aaq)8ioRdE= zu@5`EbWUdsLefRcKh*baika64odaFMs_Vd25C-#HQDP2_2fHQ=Sub%TqwPVyFX)Vr znY=s=EW=pZ7Pu;#t<3=DfOYk<`LEXLI| z(2@Gv`VZ8gZ2dOj?f@84&c^IfKjqcIOzgtwSg+h`7`T!5U>U1&`0N?ZKrJE&yJAkC}@F z%jI;Xu8#tm&2|PLTXvtp_Y-JIsQG@AW&)IM{_VmES;L^fbxX{fl>Y8VM^QjPa#z_4TZ__1rKQetkJlEq43FBH9r}9>Pg%o{A@iKvL16&lc6;r^GiyFB z&d2>WBuH7~v6zayyN0q}x_V0JSrYyxX3OKyy>zy>=Iq@=ZByvv8tWaRJ>$33q zVbg4Kqom?SF6gC{0p_1^PqEn#&Tlip>Oj$K(SztkIOp-b$Nae4SX&cS+8YuyF3WUX zxL^GUSTNE>3N)zpZXJrEQ-!vzes{~=dDFUQf)`8jeg zo2L)TZ;)y-1y2=oR8l9{RZe59%2lArOecSH&q^!_Sz~wHty4npfQfXzXZ0=d;UC4^ zbxn%@vSa{QBOWK|o6fMjuF(5`seDh5B@Ul6H2l|0n`z6L$4$_uDgzR1^S}zj!MWmW zmN5!i_c)m=gxzD|tm@AF7pFHI!iigdOx0iO(XG*(>V-Pg4Jpv?hbGM`SxJuR|(8l~Ih8P2H;>QuNf1r0SW;2yV z{S0?aj`kKN3aPh5i(?Y^1+IXV?bZ9={zrxGivxeu&mAbPJ(i%PF{_-1Bey zlwW4|5pcY9}s`H%*;x&3`U!^3T1NhYgE4no&fn92KRSRQT%UR zejC0B?OX*nltC=w>{}8UkM>P(HFsX$_zsaI&NPai&Uts>&q#Xg;+za z&OA=8-9#rozp8Q(abv6YQ+f+(t(5$z5a_7(Ygr@Q33}r*;L=+A<2zhi4_k>9v*G?^ ziSBq_(%gV;aMXKW&lY3BT2u7m=8wbkoK!Bd7dX=|UR66nzb^ROWbfD3+G&fbJ3)uE z&%IAL&UAl63*d`!llvx(?_vqpY~5E(9B*oL%t9ogc-Wpiz!FgO*r4>~$V`|;o%*>6 zhTMk(6!0OFo?)k58P?zB!{yb$&yXiAS{DaIHJyp4whd(_tz|VD{`9kZcF|6~D=X6D z(CT%w@T zMLV5@c0Q``IBxWzJA6ZhK*H?SY#?J6Jv|JlBz+-MZNR~gGe8owR?q3oU&|{trgAT4 z3lf#%Fj&Upd*7-4hE!tIFheMz%k5%l^uWo3#ZeFEH2BJVAZzOD@TYdEE@^DdSd3N1 zpU>yL@KPVkCySw(d|FneI^e((>dH2};5xT>(xVxF+=JpoQ7(;dcSKAf$Ge&T7jthN z6vx-Ki=qL76P&?fHNh@9ti`*6Ll|Ywz`}XVFtAo~?S{pPr%&$caVN)<Kc(PM~z;C_xC)Afe`5VqLhS=1C%GHRDF zYSn=;k${7WpPD5-7qAt&i)gF;RiA{`S!ByM){QWjG)~QY!eY?xP7kZp05tE!Y?WhWm9%8ZKyw($ z?<>gdU^=V4{aVB0F7~JQ!@pjK-NXOXi4gNCT4qH)5z~?sek7rOPErA$M!%#N z_!2t+ibD|)aT}UgfWCB?g&n~bVY6#@Ls{pl62RiN`_Q+Sd=;UEHuxATFlbhDvFOtkwA3dPpMa#4TNX@<4O&9BF{ww;YZKofpmY7t zR}Mbx_`#msW*2qvD9{7NxnPmh1^Pvvikx9u{I}Qm-=8oHvO9}4!&~4`1QhanGnEj1 z5!y;w{D`<%Ga8c=Pw-$z?M0+I0iL_N7d-FT zU32d$S_`}c`RVA|?>jC}tcu5jp65TlXr-awDP0cTa73kIq5xSrN?L*mubZOK4#t); zxJ)Nv#U6sU2ffb!QE#xb2vUvSQee*Wsaqc%i|9CCZ>5GL5r z>6FIsAD1U#1~-EAf9tV(&@9>gcP@-1%__hsZzHPXo+(dz6cxtEIwuO;kZAIID|qH& zzFxV$i<=Y&hq+OaEEg;-0j96VsFW2Ma4{LH0Z7TP>P)tJyB{Uj#w>*HW3UAHbecjxQbGDV@4?#w zM%W@w;S=&874z0xmfe_nNxgd4N5c%+6x*>r+PxBSs<0w({rxw|26I8NR!!)ze2yxP zLURPUj)N(qoBWd9d?b0+|1OvOw|)12=t9MbWc@A>g#QIm7rnyU^ezxEKU&}!p`Bc} z9op?7{M0pFpHMw4FWkHGiA?s!Q}pjwhpQtp{zCpDf~nNyPRnNy`d>cubmbz zh5HaNfMSS0VKA;8u*6sK%HO%<`@$6d>+WOZ-mZYSV1&Dqiu@CV z!`1HFS4OMzogH|+wOHehABUYVt7WI<-@S`)7b<*6zwXAss}p)G#&V;Xsl%$e&2nqk zDn?8%VY!}76igY{1su>pV@KEw2%Zhyd+hN(tyvI(mjso1qiaS3gl228oT6R^*dMH7 zYk4~(?vWAqR#!SoojBe$f45Ib&;XVB%+y(08>(rgX;BwTBPSO(5lcc6`)85jPB9kq zl6}~fzA@`ii(xZdC(DZF`_J)y>Xz!Tq#5J~bnnjp^r>0)TK#>p{)+Ww$sS@+Eak`P zM`N^C?Lc-XbIZr($X!kMM__cvF?mKO}s!Y3XF^FQ+)K2KkJ)gI2PO%|?O}<@=GD;g+RDQ=t zYyyE8_t=1r1w6Jp=7yfmCN@>qh$~`)5}(DIz5ikRo`( zh~`(d_l^WSzXXD}Z)O9o*TSG!?s2AO0tBj;kcivx7X%nShR!r*TisJO#%W! zDbra~GqomDZVLN#N`%iDq}9T2!>`9hgWNSZ00rUM>B6}-XUWdALGoO*_ylqs&+=Ok z1oe__AY!7+*2&j<+$R2&F3A(m`~^ge^?Nh67(c}}+#Rd=&d%#($M5fvFCA1p0uM}@ zSQtcKyr#JzlEa*O|2MMWiOVM~Ue48q43D1SF-?1RiJu3=Amyyg&!Iyd%a;b*I(hEl zzjv|k>gLm7+ag&RpL#`vD*yy9gnbRap6BRiYy!WvGW@Wairg0GXvN-1!eKrRKb_NB z8QSN8|{v)!`BH zV5(tEV!FAQew+M^c^Jhg?|nCI+Emag-!*%Dfo$+2!W@oBp8-ZSCc2HroZWGFyHYPG z?M26ZBAhoU&l-kA5j1#c-lB?f3E9e_aNo$;nn>B*3qrU5sBnasYIE!E?l*EaD#L1*?rcy zt{1w_g1d%^JU7*vZB6smo2-mCOdvxv_QsT4P1Bxy?aYh|Pxwr4tx}inGQtnx_aO6q zcMKexNJomU^C~wBmEUS05@rr;2&KFQ_aUoG&i}AI0MPbR!WjVO2kVPj<-7v-;IYW$ z6kOhq2}non^l=Sw$-E7w8Vr?Dfdt%C{+Z|A48%}~47=U91A2#a)11@!bnW|8%$tA7 zf0aBac@42ZKa>Os7T*bl_+6iL7rcN6IK0ZDZQI^SoKFVzZ_((S=Y%u$`uw10$5og+ zw2bTY4Wkm@&$GTjJwFD7+Fz<>#;Q9B8^H@wR$jcD zqLtfPwUf}3G}Ai%6P?9hQ8SR}qP?kxiH7&O>8)AH>nzlR@#fJDXN5` zC|ioy=n^AbGP5UMyVq4mvWZrPKYG;%E`!mDyx&mnzBi-td+>PuD7--L?Q2W_k(!_g z(^y&iXpE)m7x2;eT)7oS2JhE#Bw)FRvY2U66K+C^{wH-{PsVV3oCH0^-PzQ(Z)u$;Jos0v6^AGFnvxtHR zdtS!UaWs(Zs4kkBs~XRi1twu1^Z*j#a!Kq@Zo)wiRKds1Gm!Al?=&QLh)2^Md&9s@ z{=vgRB$umt=SjVHFKP7IPG81AiYH2)!_M7L$zJ0497TuLHq?kop03!JeLpzme}XdU zTv4pl|K){H2WH(n3=Y=c`2X^NX8dRVe_ZAXcaCrd7Su5~(!xF*{>yD{Y@b7Nw^Ium zzzs4kkl#7j+T6I)a~%K3s+)(6BBtb@)(h4PdBU3wTvU8}Jks^A0TI|mlz5aT(pQ4B z)-u`J_UwO@qVQNo)O;x&)AeWLbs3+pC+m;Sz$d7)&MiF6JnAq$Pe;3$tnIE+5{E-f zg8n{j-W1`pm|t`D!1geHszMrWwyOUa5|W~X`ibCqccwojcF83)K7{g~2kVlS=T;3n zy>}f89m1o@j>eE~82nv7(C%Zr8VUF6+n0vk$2*ytY+|(fyO5qtWySCBo|;+=kX+?$ zi)2L7FE(U%oUBHmWyCvW^oo4Ra|^x}$B3SpC-(Mu*?IsLth_s<;f7MQjT0B$^9krE z1rC|EPAF8|pTK~$i!9a0o;gimP?w>f@<7EzoUsIx}-GoFQAS{-em_R)Bi)QfSVjA{xq=CaU3OxXS3ZolEb_wyoasgSpf>c*AY zhu-n_(G)&=jia#-fwgzsKTkP}Xel&?yZFPaR*e;Lg@uN^`zq+|44OzwcX5BC@S2}z z8jr~+OyGLbZV9nxm{wG#^I2`8qMLf|iwI-sk>u1qdLH2@_Y1vi${;x`;?wt{DQgQ&sMG0jjmHJ1VuU-%Qgaf z$ljRu0viSn_*fZ2#m_o@TzxNJt&oO}Mwu$-SkLPBmNXu4ar%8`=_s7LJ`9d`jN%3< zi0$NefNPU^-Ig-8?!Pn$5XZ| zs3$v1n310FX#v7hlX$q`GfH``z(O_~XmCxNnWzAGnuhizPS}q2N7kQj5;z)|=jH+m zQyxY?OP}3lHHd!S?^&RUp&7NUj%3u;28PAGkgeDUa6fxg zG?tBuo>5qMfIL;wvo}XBiCUm&tj$V#@*nl3tSRF zcu~`-AQtbtjmvmF;T*5#TfIp(>D;=*6~ztxjB*0gJikn6sjL%+d<>tQ&~0Bt|EJWie5@dF zw5v>aK|q{Cq^A3@BX8r@Yk=r50{e7si9G7O8`*DifBX&?HuFnueo1iuV!1}G55Ew-wj}Y z-FwlQ=uPVqUk7)3M1_%!=DR=gT+J5aF8#6xWegRWe6m(~7l)c@J9F!QVV9Ngw&q@@ zy`|o|v@nY(Dg6DKLM&_Z0CfdbaO(htcz@>Ojtj)$nYfyBj@Tqpl@ysm4SvuB&&qk(+iaS^q%~la3iz7K^w`fZr-uVL~EYMl3=m zDt5DA3DcZ~={NVI|J+P=XpIu;`x{A;-Y#5eP4Sq5gczBD)Y>V?XEk5;2O7`S#BJ{w zyr7rkyb5z|)0gR{Z=K#@THVyWkin7QjyX%ECm^iZOeJ7&dGcO-rW?(-vk~2Q>d%OW zA@JKjqfUZ%o@J%-H=?iV!HsPaGAwdPbV>rv;l8?@^C&|M)y=&?3m6iEO|`WBfDo#f zmF9)qE9CzA^2m9oD3(FAsX1w77Wv_b&ou0v?Nbxv1{FBqi#2|c$y9rVX$?LbhGELD zjPwk zdJmDaH`9_=t3SG8E7Lh=O{7CJ>dJend9dfHkttV0YTAC z(ji@2oz0Mamp2ZZ4NMcQu~W@AHX(fhK{!ThWO@=5(03ZvFEG}lw~6vfT$JB;1h2>^ ze}j0eK$Z{Di>G3iw8BL@=O(5f?gsdJ&P0U%H-P@ zGYHRUmVzaXP(Ei{q11tX=r+|Tw;@X5%;%eCX(ou;gteJ_;bc#yyY`80hc>5)%VT_$ zx-x+R%%}b+Q||*_zyBN(6!nU8$4O8P{`e+h?b2eP-1^`OJBv{ZIHQx;GXjLX)a|Du zlNpgbEN>@WP?RdxnakvgbkUwYc;(x0sB0VPDzos{xL_%#tuq(>?>sTB`&uSb(11Qn z&1z62ILnTmBdXKyC9`9rbU-G|?D>kJA4Fa9+;xmD9xU?J1yw17V7M>QeMyY3p>`*4#Aq{8*XU9 z@Unm1Q27?lGJ)#=SAjrm3laW_n_bF&-gIfN@s^$MIGw`J)8PVoX zS*`Z-g{~=C=!m!3R@Mj6l=`TUCjrXWrWkwuNibSv6fvQBWzlchg?W9Y)rgXjnq?En zahf8$uLTh*a|OX6U^(D}A8WqZegcoz=s`h`tRSm1%+}}fNQ+j_kF~m$#p4gIqz|8j zUy)B7nHwv-H&=Thog;O1jfI^1BJqPc>*FV~1j(D$CqD(E*qcjZtH>iJ<+&LS z(tk`~s4x`xLN4ra&*DLTi809juS<9DE!n+Hr=RnfaA$uTHXMJ({+&{i7#)w{ zQg1k>JWnXac}SZ^J)8vymBge%Q12jPm<^GXvIeV#qt&H?JNoa|GSx4_FN|rrJ3F)T zN8AXuOnVFq?R|UHY#G*GJ9x8upB-VP-*a1sPc|?$)YT=zW5&#X$BNHRTuv5X^g;_{ z9kYg+6)Gmg3g}gwOQ8X8bka6mjqlIpg_V!c>p|Wjq3C} zeXux?^%uH*`<#LIS*IwH7rr@`Z%a*O+O$uYUZq6Fz)Y9^ip!KPajS;WUo0tq-Z15j zD!T#)YAm)r$n=-HbJ$6CMn`24WHNQH%eNUqM6CMBQfu5fRz45;a*C&xYjd<6oLrwA zjpao>{@UdrRu?$?L>A)>vX94$xtPxdI}dgv3kOZ*Qx)HR2(NXYtBW@&Di;Wn09?$s z-86TPPH{f*ykv<5gY}73y!q>9mEHY%wHW?Bt?U!?Y%{Xc{9PSUWC?iujTbAu8gR$t zDh}w3F1k?I2@E4R15wd0_(Xaz9?^_2HmD53VW~rNj-#zsRWP)y-m}z=kJ)3H9=Ljs zGjiVS`nKW8w6Ct9)1MMptY4W+OR7pI{6?T%#o~tm{I=4v zN~}(U@lF@kDUvdep!6Tywf@jcF{=4IkDdmt_URjx4feEbcjPVCue^ORzvo0_ig-s+ zDR0Y^;t{e@K3>f4k_c{#+Q&GmT+H#;y;liXC0fwd+IH$z1gFQoAp*22 z^Ij#7igAUz3%(SC5s%5BHx{F-a&v{cC(tU-x(G#}?A<2iS!LK>$|}>@U5Ie( z4DZ~N|Ggsn9l0M-UFcezcJ6qAwJ@U14urE*$t@h74Y7l-tQQ|mkid2Q9;F^o2AHN*7*hqKf|>5whNVGJXU* zI)M-UZGd9gdLLyJ49DRHhMt@^uQYNH`Ww<{KS9=041S}Kc=8rb|IkPKN|}<<M}FXajKp_i`uEhXO0?S(T#wu6B!i@|1uxUE z?6H9Dq;BTWKr5UH=fU|y{i^%`#lyK8#<#%x#bGR}{&&-t^jL!mhG7<(66wjq{dP}x z>fmD+BH0YpxkS&!wpE$jK3^~!0<^qNXx)Qe@i~S;V;lEJ3MubbZ6zMg-+~g*-gzHi z&-#b_&4U-X8BXAHrsLl$eOyVA$^XMP3oh@kmc<~5EWQ77+{zIl71I(_Pxzarp#+a~ zh8C5HfT0=QH%5=YQ?E?^?46qn#N775-#~cF5x0@p!#cxlB^ zo29cSm}C8W2&O;1P7JVMM__Ldq3e&jJ~jnC%gSLq)sfrZ`J|b?eKTK3`t=#83UTvP z1hwn7t^>Tof(c`9-%(NF$m+Cx#ohB)9OB~kh0bo5A(I!px6*t8J6Z)N3X{8n^NrKzm4#bpnlHkRf3$;GlCu zIeT#>LOkf`20_%qmwN;?1#eM6ZYcl!1b+rWCLEp1Z3IHdZ?0#Nd5)bM{b9{-LaSw3 zzafF_RvVykdb3Y5QPS(Z`9K4nkJTt`ZrzUEug#Bs;kA-lSXdFP-N+f@=_@$4!Zr*knM5o9$liZVgxpA?(W`@Zk*^KwqtKIGSl^74_W(6U-@y5GxN5O z`l3OhvSRCZh&p&en>&`Ol7Xj1{BguloGNt!ns0uU44G>FFi{#`d>MUfT#{?`+ z=5};&@1!yQH;CeV=lwa5GWoRc&&R|ASnw+fTgtb7#1P*!mDXympJFL*p&;dj>?;^) zA>?Z=sYC&yc1+RiKholt8<>Z?zVAh$?PK)N0vl1Mtl}+1)W;d*=AWj!-LRXy1^9&^ zuKkOgK5wNhY?O#(s}MT?k&evek)i*Dx|XPCV@kU!|v2wlm$J zBwE)uKpPA>+KGY4>^oAJ@oi=Jp!nxKBvbG0h=lcOJ~_Jk>XIS0;j=J4IU=3ot7q_v zD`aXc&Ls*4Jpq$72EMv_)&~}ubG&v~#KSTi5;Mom{-U(7QnE#(ELfM%f|nf5ax9R( zl=f7sW$Yd6A#_xQ`Q>pL^}Nh76$Of} z0F%x)_#VdJ_F=k$S*53kB%vux=OO`eKbCgz#(<*)w9Ly`ZNvJc#WzOku2uL&oqQ*g4%O-!kRYR%)IsUBil9!NO@2s zLU0dI%A|U`V)CRrYSrrKtJatiK=dUHMNCmo;@Rie{rkMC9dJWl^5*U@} z7Dx;)%BK#n}%$)-xaU zQL}vUc&cKihN?|x0#U-)&*-aUm}^Q+-0Qx#s*e~6QJ)014$O|>O^dLVjPE8b(A(l6 zuhPcc3UGhY&=A!u+Z4Dq?vgm^AbTy@0j)^f6!Jc78Sxt@-LCHL=%QMB%QM{nK?qkh zW3vJNDDexC1M7ECa#+$u@!)RjDHe3?TM0qmgy$9D>g)}TkC`^@!bPyKUOQxL;GH(C z+_3!2wUlV_k>*>9l!l4#;K~dKuY6*Py!WuRCjbcyezCuY4-X8wQ4tiu6<1@~47^YG zo;(0F4F^6ta(3wUjs00trro@?70?6|%IS{o_GNp=)C9#;4ituJ<3r!=K5+S*pV#oP zMbjS_b_Z7SW6|5MpCBHbDf1M89H8HI7n66Dw#Fs0t{ZsbwW_gIcf`-oJ*rnLz5OU%PG# z!~0Xvpo}l=$3^qMi;mk%@*!8wo=vTSif{gm3Rn-isO#T`pktBYRY=^aFL!TCrV&%@ zLVU;xgr!zqB7zs@r6m$Y$yye=j)@sMKPSx}XiTOAjjaa|lyFUG0iXFSf^c=7;wn#A zJ#Rrc11pF_fijJ7i5chA>nMRp#a>zDA&ieNnLtH_#*s31a4vj#LIejs_0@&vF|~LFP_KY zZ{RW?pVI_fLQhUn-r@G*Vc(GCz;`S1$j#T6M`rKZ>H6If%_f_bgktyfYhhVP!3|*j zkVX7nTqwghPCwic_@Je2W7@@GAaAlx$41@Z3^)U87xX`ezos;BImo}`YlGii==_{C zDhzDC4JHm9nq9s7TfcAufdNX8o{@KOn}UQTNIbR2k=ZNMa^0T z2RS_ky#M0aKJV^aV?~=wvA^c(VJEO>PZCBpVmOKZ&UX%2VP&1=+r0K9JX4K-okv3wO5#lC7u4f(8Z>1PYb&8>+f zl_E;O)6O-y@U`-K)1+ltM4@3d!FU7E^m?27)s-eQbkczq*RCG`o3PN=T(CcD2R|eFoL1tXLlNR|>(+PMYHw?^hW_d1migsj z@euFXqxrS~13$)!h!K@8fJsTg!BRAmPA)hE!~sgHzUhTH0j zb)M~FyJQ!vvd~>H)^v!V-oEsCWW(UFGTER}1}jVkB}(xEHLHj?qC3hD@YKI&$)8P) z&(xUfj=38-(9moJ7Ly5HJqa+`HQ{q}W+V2$Wt8hXyPdn5bx9uN!{%c89RhG%+S8S} zp!GPGLF%J-3e-uL&@97|>xK)TT+bt=!bsUtGo{hrk5`n?)_4j2U|5JZ$}L?fpqHk<EryP)pVO0D~2fGw@Ic?rE$b!20xvfsL$k? zkl&)|kzpnGy%Sif_Ta;R(eeYh2|)JQcAPm8E85yS7!!;~8=B68)cO48!k<2ZJpdHt zz)kLV$+cYGIYuqVjvHj`;YqbWp9etyB>3l4~6sRF$kF2D$S2jTTC z0?sCKTGZ0&G@j54mHWJP}Yc#8C5sk6VY#aUZYGR9_%VH(LtG;#O+%z;PoS~s>hY!3b%IQ zr_P4aE!)~A7{~Vt#0hB#o>7&&2BFMeUET_f@A0>vMAz|nNS4Gy?x`r>Vrj4-D~pCg zXI=5I1qTaa7eBFRaMxT`orOlKIk>=JCROn!;Plq7L1bMoYM3{x?(pHU>8#*qc$}I^ z&f@sr>&}`{O{sEGUf%taSY9SrM$AxF#J#{T%D|d<;%V!HHnnO0=^rpcw@^L!=-iL> zrzpoK?pmr>Qu76#4=tSldRp_sxY5cN35$}bEH_*N+eP3Hel1D6sU2rR0;r0`N@Pt_ z9rINRp8sy(Jg`s#icEI^?2=E|=AU@!nHXxD2$F`C*wo1CaZ7o!w|$n9lv@=`7vt7j ziU1Mh#jxWOZ1|z0I6p0Z56Wt z4|EkXE(#)5hFjh$p<&ISSY28mcUQCB*A?Yjti9lovNqgBX6ek@65)f{N7CUJ!jc&Y z_-L6S?%%&%kWCM1(1kdQm#?l*N{Zx!zxl)8KbZlP);bT& zAds42Ds$279}huI8zqv{BCqANd3Fsh$?sVTqjM^uw~s6GWth!DOPBU7fS^SKG#8nW zD!w=W{e@!d&i}J@@*HrK?lL^zPDKj+hzbW$I;XMZdP4dkcjC~n9+9(cvo%bn2hz#Y zPjQzt^cLaC9l9tdFZ+vk zW{OdiDR8}ZViojAO{g>E740AO*$8dT)Z!r=7=`6QtGX|4enG)gX!r1wBPbXMpf)>1 zjEPicjRXk;e~SSyZ;93Ij}D5&B^yuS2Mr3K{3Gf~`vcY&IB-*K$knY;<39ZcNf|(^ zOrj#%VPq(&UC)t_SWGg47hvvgM+6{f(_2a2KAD3US>bR@;-xRh75{*)`#*5!cl3YNFygwgzvwy){Uv?zT9_|Psdxo3+{m|jadS%+ zPeIwZs<}UN?!2G&x4`DP-DZdELMpE0_>pTkK0J@dfDx5|?qMJcBH$XiyOxPId#-nP z?M8vlenl^X!tsTGrM6iniXy`UTtDb2&IVR*ry~v ze*Lk@n4xz>f52)-k?SHl+N*>v6fHs*p69P1?k{E^=)HEk5m3qJ3`Q`m*F=&p#f3jp zUICu$9!ThY69ftLvhHg++0tR^Y03>e8S&`75dpU1%Q*_)M@)v(5fs$TH-)0>AO@CO zlI=b*Z=t5Qe$zw7_BwRc8Qt=Fq!H+Vn=ca{N6PKcn0e{lfce3YDvPMJ&7W^+>n~f= zCD{H^vIPI8YPbt1#FV3XviuTp3AKaxqbYciO;kW#QVlU1iMq8sE=Qxc>9fNGw} z>WMR?+k9iyY~?8{{H)8p9ht*WyN=q#{Oo1Ox|0?QOQDs3!XZWy8DT$5<_{cFml#eC zMzR<&E4IiAA1U4eS+#s0bU74_DFHK5_dk(2V34ev2kRvCJrqYl)n>@ZprV1a)0HDh zO36`6ae!DCzye%B;_2s{!ji1FeF5@{QyA2Iywr^nM;<1ZzmbWVZ4ayXIVzH@nlFwB zPV7N_e-5Hl#!Wn}FzJBkXVCP9lxIGf1BblrtmewhuE}pPN%Q{S6*SgW!YMTvCVq|d zF1ouC>j$(yi{F%$75^X~h4Y+?E>T5L>)HP|zWaYr?KKF3aE`N<5k+w;V*A!tWIY?x z7kEh0A+W{Aw??Ck?Rz3DX()@#EI{?WeBpa+^!l8XY_x;i!CB{;7t1Yq40=C6=Ix)< zd{;$->@g!qLM!k+r_|RX_2-J>txukw7*Pe?-8HD7mg6xM4rK`TOnFM7k+S*9j2j9I z#dFB0s$nrpooT)=3@rYIAbRJV>J}%5C-9Os5#>*T3!dnZU9W&`Wa(Zo20L9jer%ni z9Y`lU<12w;@cOv$lWbd|jemI8^)jVbS9Me3td^5Vy3ESnEL|oxi9!nxKW=;Q#up0;6@}_`@ZuieJ?( z?0tcGC*NN6vA*8>f*MQd=|=fzl#0PDRpX{%BIQkPvXzQI*ZZ6#+#<<>=&6k@NzD3l z9i^d})$H{vj?dw_Zpqpp0T(wHMV3_?dJwxHIlb;c-|1z7jp0V&_ z*}9UfwC;a(!AgGCyZ@@SDIkyA7$r>e;onw@d^ov*sr{PQ{I?-XN6-m0=Wiq7)c`{w$qeuFbKJX+;*#Pk-Ft^07r-!Zm4pUa1^x49fK1aI8ksUfrF&*TM-E~J|@YiHl_)I3qz3r$&cs8EJ zF(=0s<*EGo&>@ymUXQp433_#72<1?_{|SleUpC-@ z4vlY%UF(tEl8pxb>KwnPz0A5Mf7Ud#FhYB1r409?s~)fgaI(FtDzWaHoO`2tT%J$Z z1*BM19mHNov7GaWI?Gusn?Pr=zRp2s_l*!HkORFW6*v&Eb!DG^z(k&~vr1wXG#R2H zKAd28S4z6&m7jvLC9`D+d`A+g4oXa&T-lou)-oO7aF_SpZ%AqapBy|(={E?kF20Zm z8o^b;f1hsLXC3Tu#zujf5XGdF(?H=_#9apLg1JE3MK_Ih{cxLXrDx&F`{Cv~oeo=E zXodn=Gg)}{SPrZ`v&Xp{?*a zWX#rO-HE?*Q7S%eaS57T7*UurW{X(YEPFP_{6gkMZFjc}w!xTWFCI%)F=lmnpkxr0 zPzw8MG&;-eOg(Nw_wQm*NW`SVBb9~+tx)bO z1j;`z*oQIF-OtJqU~N~xT<|m#2ef%Mm}rVcIm-~M!Q9?IOfjxb*06AgnBmBdgz%pM zN>Gl0EB(OeAXyeguyyM3`~kFsu*n2~{SG=Dowx$%kd?gv^!VBv534*7F%eRNu)oO& z1o^$X%shNQ1syp~-^g2fjS)6AfA}*7fgf>iPA{_C8-l(}3Z>s^e6iyb%w11Gy#Nfh zr$*GF0!ZMBP(o-E`;73Kz>h=seYl{H;}_aj9G;DHKn)OXVloFDpPJZq>_e1ZuqCt- zi7G&0GxQtePfs?mD~~g^3R8Rfh|(g93Z4y66eqgixw4Ca7!u#W@eIM{vD(l;^rytQ zLC?f|5OqQ2cwrr*QCqB?@igQEBnc3*SGxVQJCS9hj#h&}X zmkGj@X~%5=>0(6bnaCof49F~l%$}qXTo^ly3r!xm%jNeS{Eoe zyCHsLAY1{C@gM2E**indKEY3ZE=sCi;tQbddN-KvwN8IQ||5MLO_NqVuq3x^DfqelI6bNRn-{x$rJ!%ewr-GWSp z!6=_m;dyYH?{gOQy|B63q$RewivP1R zv6(_oXw(0tcob5yRPCqpuh#3}1Xh1(c#0jA<7}|pKrj?}CjT)!?!P7R|5xVyFDXN9 zXGOLt^v@fQaryB0u+r&`51&|0pmsMpr=F)-U0qcxY#KT+9m75f@UQ54F|6pbrYl<_ z+^F?Ff+T#8bBMvDeo~`N??aEzv#U$#JLTp2X}&raW61tnd^6dH^2@~;`i~9wK>HyK z`LVmWJ2-M_LFkWx?U&~O$&VC{8WP-)^9v2$C*Gt;05&Pn7*sT_;SxMwVADRbws*+| z^_Ug!5oufj+A=n(j+vad^^mJA!~^`~29SG%&m&>NoqV?!RnxSf^jv4`O6yGNB7`S< zCQ#za?(st?<)wMC^}vBxp}uIxxpQcqIx{2c(^CVE?S}SL0Yrs6jRPfU*z1jA0O}Kq zJ9p*4mNh}k!Zlf|b#>%Ob!yPII=SB)CdFnnJPU@>IeKA{__Pt9gDqJr+j4I~vZj$N z9llTSHut8f(q<<9Gfc{0w;kOoC{WLzWz(H)GfT|Fl$?lyzIz>kS^Kj6>vi9sA5T-9 z=}}qb44y}s#hAV>ZT%32ryqKrCB>z~k#Rw3-AroLX+y`e>ZZMp%a39`P+Eoj2xORj zk=Cm1wIO%?2#4R5xLEQOI$r7Cq8(R|wL-|u#1&4HwW36H zsP%f&$s}L)h$Ldy+P;RX*Q?^^7H&gaC$s=L*6e|0YDCsrN|b6{Mg!9Msq_8%%Tv_a zor*jBvH^C8ysaoDn(O|6c;}=4->UPykrq8c8nYgwVb2JgI$1}lF>%IkYuZ-*PJ2E&r8PPl+w;RtcdUZ;NU}_3X?2NAh1OczE#V)mp#t9pL3pU5S2np8h_>J0R0{(m z6%A6!?}FT7FTIe@$ZFz&$!^CEx~4Msm$F?%Ho$;_-`q~=hnkb>;yV-Q19+-f!vwHT zw!MxCe!rr5@Q4MV_h4mfb;R1=&Izk$>HCI4hZzAMk)l!||Eba%r&1zPC1MvBh>U}w zUzZP6>e>gT|4?fg@xM4UmIo&s%c(oN`TlyopvMOflKheSv(bnLGUJ;yCW$bhlG8af z4D4Xr(FDOXJy4T_NLF`H4FYDM{qN+YE#!!-Rui5Qe*5hfNXRSsXK5vWUqorp=u$Dl z-3Cs^)(>mYp|>qHA<48JD@bMu5-9RhZa)9f`^$)+#?v8DMAptO)EJKWw#Q}gH_q77 zHxA*bkSpDGFZc(6=8|cHpZMj|!fOMJ$lCqs;xguQZ+L+EmoOxBjfQ*PC%C@woZSma z0&o$ye01_spT}dwHuFEGi}^kya(bW6Id*+fd-2C_(8`l)V1LXUf?Nov=cc2eQ%VSB zdSGmf(eBIX{n-PWcM+^B-Uri334?6H70mVvwHzI+b=FW{HOm!cK)<=Da1rxQu z$T+Q6xeW>Ygf(?G6(F4DriqpqUj&!AqWlJ#0=f?;*Cm*K5B@=UP-J~WXoMuo!-aV` zXPwds;0NfNYtFi*#C^YkjJW`_*H%~U_@||?XLIhCJIJk^F~p-s`mA`gEBagS`-Pbz z;dEc)c>JRT)qTimVANw3@};X)y-vBhfw;4m4_551B7yz88~3$LbUJ@%5)#apCO8+X zs~r6=?;K~X&J!I%tOaj!prnrWA=WY|7mHLLUPq6Lu7dcq2{ri5dv#7DO%+i>v7#pE zvW|(kpY!UvHkkK@*XC+Su!rpxSn@9Yg9eK|Pq}>#!|e+YMLEy*esx*ebUn4vhqrl7 zsYd0`)wgUi&o&~s=K6e1?WRG4s>s*I<+SGW8IR6YEHaJR1#{ zeTW1YnE`#!DV{sX6b>z952J5QV?*8QG?Ro3qD{@W!wS#07k(E_m_F z30U9`ak299hCXr|@nt1E2(YZ#h4?+3jM3*Nz@{(yD1v>0rV$kog=bT@Egv2eFh{Mg z&+Ox!bH?DK&8nwo0m_8}exWFkF!YyQlFJO@fXY1wHdp)&#t+gEf}#U^uUO9w7xp&v zZw5p2XqXC?@(i;K)fo9oDr?_$*Cq5PEZO-CQg5>M`TkImXGLS zp#?nWI8XCTlKyp>H6|{&fsBjZLVqK%&&P^wo(~sT)h}b-b)!D^BJq>idC(7c;k~jy z@beEubeR3|MoKOt|Ho97cl}-4n?CtOUPj5@zu%wl{|?+R_4YCUEr;IzA!aesWN7UfGY8fB0udFz>HiFd-$kwcY7mh0)vmxS3zgFUNmwpT?kZSN7C{M?EjX zqyA5bd42oEa#@+c`Jw)wPkp$(*4}gpljM!BmtWoemm|IR@7L$&Z#%wIzmlvW=H8nv zuk@QWpWzX7J1Ve}y6V>?vU};LtKhArkNhER<}VmG8hO*`Cu^+ zmc(Y+#_j!4yE=SXnEzr+=JhLBohUP>cgD>CG zk4<>`s`p3Lt@|>kR=hcOYudIT$*V~M;_H=p<8FqWT4ZsVB|NItB{*k_przuje-#y} z#>arW0)2#IGDU@aH^O!W>NmIF;z`~raCD~Hj!Rl9vyv9~oZ!58J07$q`DI~egn!2) z1(gMtw5FV3lLBoy{P*YUb705u@bP8+^&2>BTdoA>Y`N$ev-f|ath<{}$MYrs*BVcG zYPMXdFRw=7z!tZ+ubyND$VhNWE^Ds!kw|XZ$&~O4Jh^>3_{7(b5?}90%dav_?C~sO z{q}r4$j|Q|&yQ1E9Uoxx#-%OuW3Sb!$#p?)YRRu2*USI^{p0@e|5vm_3U1s7Ze+8B zZQuHrzUx0{`z2mWgXNRNJ|EgA(_eV7TV@(tlXQox@%;y;>2-#?*EG7Q>ONZvUR4fs z{|k-_Cs$N&=sRfLe((R6f8owtQXEkyj;!&_(@*-g%_JkxE9#85eEqKsqnQhe;1bQqMKbbC5jx zp;ID(Vd3_qUH@{rQ+}L1b`N;014!uZzYSUW|KA#iM<3tub?cnjvzG+=1WXc3U;q{_ z->%QM-_rQYWlKTjp`a<2+*M4eB8SzJ8W>ydb-nzxS$EPybLr|}Hn331@58HV|K!f! wdCTh9+VxNG+)?RGYMQi#fw^Mgt^5DkzqMNZoNaP6h5-mXUHx3vIVCg!04v-aAOHXW literal 0 HcmV?d00001 diff --git a/part5_bdt.ipynb b/part5_bdt.ipynb index 5a7b0cd6..841df462 100644 --- a/part5_bdt.ipynb +++ b/part5_bdt.ipynb @@ -1,69 +1,81 @@ { "cells": [ { - "attachments": {}, "cell_type": "markdown", - "id": "209d2b58", "metadata": {}, "source": [ - "# Part 5: Boosted Decision Trees\n", + "\"conifer\"\n", "\n", - "The `conifer` package was created out of `hls4ml`, providing a similar set of features but specifically targeting inference of Boosted Decision Trees. In this notebook we will train a `GradientBoostingClassifier` with scikit-learn, using the same jet tagging dataset as in the other tutorial notebooks. Then we will convert the model using `conifer`, and run bit-accurate prediction and synthesis as we did with `hls4ml` before.\n", + "In this notebook we will take the first steps with training a BDT with `xgboost`, then translating it to HLS code for FPGA with `conifer`\n", "\n", - "`conifer` is available from GitHub [here](https://github.com/thesps/conifer), and we have a publication describing the inference implementation and performance in detail [here](https://iopscience.iop.org/article/10.1088/1748-0221/15/05/P05026/pdf).\n", + "Key concepts:\n", + "- model training\n", + "- model evaluation\n", + "- `conifer` configuration and conversion\n", + "- model emulation\n", + "- model synthesis\n", + "- accelerator creation\n", "\n", - "\"conifer\"" + "For some use cases, the Forest Processing Unit might be an easier entry point as no FPGA synthesis is required for supported boards. Read more about the FPU here: https://ssummers.web.cern.ch/conifer/fpu.html" ] }, { "cell_type": "code", "execution_count": null, - "id": "eda9b784", "metadata": {}, "outputs": [], "source": [ + "import xgboost as xgb\n", + "import matplotlib.pyplot as plt\n", + "import plotting\n", "import numpy as np\n", - "from sklearn.ensemble import GradientBoostingClassifier\n", + "from scipy.special import softmax\n", "from sklearn.preprocessing import LabelEncoder, OneHotEncoder\n", - "from sklearn.metrics import accuracy_score\n", - "import joblib\n", "import conifer\n", - "import plotting\n", - "import matplotlib.pyplot as plt\n", + "import json\n", "import os\n", + "import sys\n", "\n", "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']\n", - "np.random.seed(0)" + "\n", + "# enable more output from conifer\n", + "import logging\n", + "logging.basicConfig(stream=sys.stdout, level=logging.WARNING)\n", + "logger = logging.getLogger('conifer')\n", + "logger.setLevel('DEBUG')\n", + "\n", + "# create a random seed at we use to make the results repeatable\n", + "seed = int('hls4ml-tutorial'.encode('utf-8').hex(), 16) % 2**31\n", + "\n", + "print(f'Using conifer version {conifer.__version__}')" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "18354699", "metadata": {}, "source": [ - "## Load the dataset\n", - "Note you need to have gone through `part1_getting_started` to download the data." + "# Load dataset\n", + "\n", + "Load the jet tagging dataset.\n", + "\n", + "**Note**: you need to run part1 first." ] }, { "cell_type": "code", "execution_count": null, - "id": "1574ed18", "metadata": {}, "outputs": [], "source": [ "X_train_val = np.load('X_train_val.npy')\n", "X_test = np.load('X_test.npy')\n", - "y_train_val = np.load('y_train_val.npy')\n", - "y_test = np.load('y_test.npy', allow_pickle=True)\n", + "y_train_val_one_hot = np.load('y_train_val.npy')\n", + "y_test_one_hot = np.load('y_test.npy')\n", "classes = np.load('classes.npy', allow_pickle=True)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "24658fb4", "metadata": {}, "source": [ "We need to transform the test labels from the one-hot encoded values to labels" @@ -72,239 +84,413 @@ { "cell_type": "code", "execution_count": null, - "id": "00f304bd", "metadata": {}, "outputs": [], "source": [ "le = LabelEncoder().fit(classes)\n", "ohe = OneHotEncoder().fit(le.transform(classes).reshape(-1, 1))\n", - "y_train_val = ohe.inverse_transform(y_train_val.astype(int))\n", - "y_test = ohe.inverse_transform(y_test)" + "y_train_val = ohe.inverse_transform(y_train_val_one_hot.astype(int))\n", + "y_test = ohe.inverse_transform(y_test_one_hot)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "8305e22c", "metadata": {}, "source": [ - "## Train a `GradientBoostingClassifier`\n", - "We will use 20 estimators with a maximum depth of 3. The number of decision trees will be `n_estimators * n_classes`, so 100 for this dataset. If you are returning to this notebook having already trained the BDT once, set `train = False` to load the model rather than retrain." + "# Train a BDT\n", + "We'll use `xgboost`'s `XGBClassifier` with:\n", + "\n", + "| Parameter | Explanation |\n", + "| --- | --- |\n", + "| `n_estimators=25` | 25 trees |\n", + "| `max_depth=5` | maximum tree depth of 5 |" ] }, { "cell_type": "code", "execution_count": null, - "id": "f5044231", "metadata": {}, "outputs": [], "source": [ - "train = True\n", - "if train:\n", - " clf = GradientBoostingClassifier(n_estimators=20, learning_rate=1.0, max_depth=3, random_state=0, verbose=1).fit(\n", - " X_train_val, y_train_val.ravel()\n", - " )\n", - " if not os.path.exists('model_5'):\n", - " os.makedirs('model_5')\n", - " joblib.dump(clf, 'model_5/bdt.joblib')\n", - "else:\n", - " clf = joblib.load('model_5/bdt.joblib')" + "clf = xgb.XGBClassifier(n_estimators=25, max_depth=5, learning_rate=1.0,\n", + " random_state=seed).fit(X_train_val, y_train_val)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Validate performance\n", + "Now we check whether the trained model is any good. We'll plot the ROC curve." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "from sklearn.metrics import accuracy_score\n", + "from tensorflow.keras.models import load_model\n", + "\n", + "# load the KERAS model from part 1\n", + "model_ref = load_model('model_1/KERAS_check_best_model.h5')\n", + "y_ref = model_ref.predict(X_test)\n", + "\n", + "# compute predictions of the xgboost model\n", + "y_xgb = clf.predict_proba(X_test)\n", + "print(f'Accuracy baseline: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_ref, axis=1)):.5f}')\n", + "print(f'Accuracy xgboost: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_xgb, axis=1)):.5f}')\n", + "\n", + "fig, ax = plt.subplots(figsize=(9, 9))\n", + "_ = plotting.makeRoc(y_test_one_hot, y_ref, classes, linestyle='--')\n", + "plt.gca().set_prop_cycle(None) # reset the colors\n", + "_ = plotting.makeRoc(y_test_one_hot, y_xgb, classes, linestyle='-')\n", + "\n", + "# add a legend\n", + "from matplotlib.lines import Line2D\n", + "\n", + "lines = [Line2D([0], [0], ls='--'), Line2D([0], [0], ls='-'),]\n", + "from matplotlib.legend import Legend\n", + "\n", + "leg = Legend(ax, lines, labels=['part1 Keras', 'xgboost'], loc='lower right', frameon=False)\n", + "ax.add_artist(leg)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "5e9857c2", "metadata": {}, "source": [ - "## Create a conifer configuration\n", + "\"conifer\"\n", + "\n", + "Now we'll convert this model to FPGA firmware with `conifer`. We first need to create a configuration in the form of a dictionary. The quickest way to get started is to create a default configuration from the intended target backend (`xilinxhls` for us). Each backend may have different configuration options, so getting the configuration this way helps enumerate the possible options.\n", "\n", - "Similarly to `hls4ml`, we can use a utility method to get a template for the configuration dictionary that we can modify." + "We will print the configuration, modify it, and print it again. The modifications are:\n", + "- set the `OutputDirectory` to something descriptive\n", + "- set the `XilinxPart` to the part number of the FPGA on the Alveo U50" ] }, { "cell_type": "code", "execution_count": null, - "id": "5bab868f", "metadata": {}, "outputs": [], "source": [ "cfg = conifer.backends.xilinxhls.auto_config()\n", - "cfg['OutputDir'] = 'model_5/conifer_prj'\n", + "\n", + "# print the config\n", + "print('Default Configuration\\n' + '-' * 50)\n", + "plotting.print_dict(cfg)\n", + "print('-' * 50)\n", + "\n", + "# modify the config\n", + "cfg['OutputDir'] = 'model_5/'\n", "cfg['XilinxPart'] = 'xcu250-figd2104-2L-e'\n", - "plotting.print_dict(cfg)" + "\n", + "# print the config again\n", + "print('Modified Configuration\\n' + '-' * 50)\n", + "plotting.print_dict(cfg)\n", + "print('-' * 50)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "9e3ca740", "metadata": {}, "source": [ - "## Convert the model\n", - "The syntax for model conversion with `conifer` is a little different to `hls4ml`. We construct a `conifer.model` object, providing the trained BDT, the converter corresponding to the library we used, the conifer 'backend' that we wish to target, and the configuration.\n", + "## Convert and write\n", + "Convert the `xgboost` model to a `conifer` one, and print the `help` to see what methods it implements.\n", + "Then `write` the model, creating the specified output directory and writing all the HLS files to it. We also save the `xgboost` model itself.\n", "\n", - "`conifer` has converters for:\n", + "#### Other converters:\n", + "`conifer` has converters for several popular BDT training libraries. Each one is used like: `conifer.converters.convert_from_(model, config)`\n", + "The converters are:\n", "- `sklearn`\n", "- `xgboost`\n", + "- `ydf`\n", "- `tmva`\n", - "\n", - "And backends:\n", - "- `vivadohls`\n", - "- `vitishls`\n", - "- `xilinxhls` (use whichever `vivado` or `vitis` is on the path\n", - "- `vhdl`\n", - "\n", - "Here we will use the `sklearn` converter, since that's how we trained our model, and the `vivadohls` backend. For larger BDTs with many more trees or depth, it may be preferable to generate VHDL directly using the `vhdl` backend to get best performance. See [our paper](https://iopscience.iop.org/article/10.1088/1748-0221/15/05/P05026/pdf) for the performance comparison between those backends." + "- `onnx` (exposing `catboost` and `lightGBM`)" ] }, { "cell_type": "code", "execution_count": null, - "id": "7ebf5b06", "metadata": {}, "outputs": [], "source": [ - "cnf = conifer.converters.convert_from_sklearn(clf, cfg)\n", - "#cnf = conifer.model(clf, conifer.converters.sklearn, conifer.backends.xilinxhls, cfg)\n", - "cnf.compile()" + "# convert the model to the conifer representation\n", + "conifer_model = conifer.converters.convert_from_xgboost(clf, cfg)\n", + "# print the help to see the API on the conifer_model\n", + "help(conifer_model)\n", + "# write the project (writing HLS project to disk)\n", + "conifer_model.write() \n", + "# save the conifer model - we can load this again later\n", + "clf.save_model('model_5/xgboost_model.json')" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "dc5e487b", "metadata": {}, "source": [ - "## profile\n", - "Similarly to hls4ml, we can visualize the distribution of the parameters of the BDT to guide the choice of precision" + "## Explore\n", + "Browse the files in the newly created project directory to take a look at the HLS code.\n", + "\n", + "The output of `!tree model_5` is:\n", + "\n", + "```\n", + "model_5/\n", + "├── bridge.cpp\n", + "├── build_hls.tcl\n", + "├── firmware\n", + "│ ├── BDT.cpp\n", + "│ ├── BDT.h\n", + "│ ├── my_prj.cpp\n", + "│ ├── my_prj.h\n", + "│ └── parameters.h\n", + "├── hls_parameters.tcl\n", + "├── my_prj.json\n", + "├── my_prj_test.cpp\n", + "├── tb_data\n", + "└── vivado_synth.tcl\n", + "```\n", + "\n", + "- files under `firmware` are the HLS implementation of the model\n", + "- `my_prj.json` is the saved converted `conifer` model that can be loaded again without the original `xgboost` model\n", + "- `tcl` scripts are used for synthesizing the project" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Emulate\n", + "Before starting the lengthy FPGA build process, we should validate that our conversion was successful and that the choice of precision was suitable with a bit-accurate emulation. To do this we need to run the HLS C++ code on the CPU with some test data first. This is like the HLS C Simulation step, but rather than writing a C++ testbench and invoking `vitis_hls` to run `csim`, `conifer` implements Python bindings for the HLS, just like `hls4ml`.\n", + "\n", + "We first need to compile (which uses the C++ compiler), then we can make predictions" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "conifer_model.compile()" ] }, { "cell_type": "code", "execution_count": null, - "id": "993fef56", "metadata": {}, "outputs": [], "source": [ - "cnf.profile()" + "y_hls = conifer_model.decision_function(X_test)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "9c840ca4", "metadata": {}, "source": [ - "## Run inference\n", - "Now we can execute the BDT inference with `sklearn`, and also the bit exact simulation using Vivado HLS. The output that the `conifer` BDT produces is equivalent to the `decision_function` method." + "## Compare\n", + "\n", + "Now we check whether the emulated predictions are good. To do this we'll plot the ROC curve again with the HLS predictions overlaid." ] }, { "cell_type": "code", "execution_count": null, - "id": "b9fd0fee", "metadata": {}, "outputs": [], "source": [ - "y_skl = clf.decision_function(X_test)\n", - "y_cnf = cnf.decision_function(X_test)" + "y_hls_proba = softmax(y_hls) # compute class probabilities from the raw predictions\n", + "\n", + "print(f'Accuracy baseline: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_ref, axis=1)):.5f}')\n", + "print(f'Accuracy xgboost: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_xgb, axis=1)):.5f}')\n", + "print(f'Accuracy conifer: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_hls_proba, axis=1)):.5f}')\n", + "\n", + "\n", + "fig, ax = plt.subplots(figsize=(9, 9))\n", + "_ = plotting.makeRoc(y_test_one_hot, y_ref, classes, linestyle='--')\n", + "plt.gca().set_prop_cycle(None) # reset the colors\n", + "_ = plotting.makeRoc(y_test_one_hot, y_xgb, classes, linestyle=':')\n", + "plt.gca().set_prop_cycle(None) # reset the colors\n", + "_ = plotting.makeRoc(y_test_one_hot, y_hls_proba, classes, linestyle='-')\n", + "\n", + "# add a legend\n", + "from matplotlib.lines import Line2D\n", + "\n", + "lines = [Line2D([0], [0], ls='--'), Line2D([0], [0], ls=':'), Line2D([0], [0], ls='-'),]\n", + "from matplotlib.legend import Legend\n", + "\n", + "leg = Legend(ax, lines, labels=['part1 Keras', 'xgboost', 'conifer'], loc='lower right', frameon=False)\n", + "ax.add_artist(leg)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "c486535e", "metadata": {}, "source": [ - "## Check performance\n", + "## Build\n", + "Now we'll run the Vitis HLS and Vivado synthesis. HLS C Synthesis compiles our C++ to RTL, performing scheduling and resource mapping. Vivado synthesis synthesizes the RTL from the previous step into a netlist, and produces a more realistic resource estimation. The latency can't change during Vivado synthesis, it's fixed in the RTL description.\n", "\n", - "Print the accuracy from `sklearn` and `conifer` evaluations, and plot the ROC curves. We should see that we can get quite close to the accuracy of the Neural Networks from parts 1-4." + "After the build completes we can also browse the new log files and reports that are generated.\n", + "\n", + "**Warning**: this step might take around 10 minutes" ] }, { "cell_type": "code", "execution_count": null, - "id": "3a87c1b8", - "metadata": {}, + "metadata": { + "scrolled": true + }, "outputs": [], "source": [ - "yt = ohe.transform(y_test).toarray().astype(int)\n", - "print(\"Accuracy sklearn: {}\".format(accuracy_score(np.argmax(yt, axis=1), np.argmax(y_skl, axis=1))))\n", - "print(\"Accuracy conifer: {}\".format(accuracy_score(np.argmax(yt, axis=1), np.argmax(y_cnf, axis=1))))\n", - "fig, ax = plt.subplots(figsize=(9, 9))\n", - "_ = plotting.makeRoc(yt, y_skl, classes)\n", - "plt.gca().set_prop_cycle(None) # reset the colors\n", - "_ = plotting.makeRoc(yt, y_cnf, classes, linestyle='--')" + "conifer_model.build(synth=True, vsynth=True)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "70c43d82", "metadata": {}, "source": [ - "## Synthesize\n", - "Now run the Vivado HLS C Synthesis step to produce an IP that we can use, and inspect the estimate resources and latency.\n", - "You can see some live output while the synthesis is running by opening a terminal from the Jupyter home page and executing:\n", - "`tail -f model_5/conifer_prj/vivado_hls.log`" + "## Report\n", + "If the synthesis completed successfuly, we can extract the key metrics from the reports and print them out.\n", + "The section `\"vsynth\"` contains the report from the Vivado RTL synthesis, which is usually lower, and more realistic than the HLS report." ] }, { "cell_type": "code", "execution_count": null, - "id": "721814ef", "metadata": {}, "outputs": [], "source": [ - "cnf.build()" + "report = conifer_model.read_report()\n", + "plotting.print_dict(report)" ] }, { - "attachments": {}, "cell_type": "markdown", - "id": "ad1efe07", "metadata": {}, "source": [ - "## Read report\n", - "We can use an hls4ml utility to read the Vivado report" + "## Deployment with `pynq`\n", + "\n", + "There are two main ways to deploy a BDT to an accelerator card with `conifer`:\n", + "- build a static accelerator with Xilinx HLS backend\n", + "- use the dynamic accelerator Forest Processing Unit (FPU)\n", + "\n", + "Getting started with the FPU is straightforward. For a supported board, you will need only the converted model JSON, and a bitfile that can be downloaded from the conifer website. Read more about the FPU here: https://ssummers.web.cern.ch/conifer/fpu.html\n", + "\n", + "However, without a physical device there's not much to show, so in this section we'll see how to deploy the model that we already trained as a static accelerator to a `pynq-z2` board.\n", + "We'll use the `AcceleratorConfig` part of the configuration that we previously left undefined." ] }, { "cell_type": "code", "execution_count": null, - "id": "578a62c3", "metadata": {}, "outputs": [], "source": [ - "import hls4ml\n", + "pynq_model_cfg = conifer.backends.xilinxhls.auto_config()\n", + "pynq_model_cfg['OutputDir'] = 'model_5_pynq' # choose a new project directory\n", + "pynq_model_cfg['ProjectName'] = 'conifer_jettag'\n", + "pynq_model_cfg['AcceleratorConfig'] = {'Board' : 'pynq-z2', # choose a pynq-z2 board\n", + " 'InterfaceType' : 'float' # floating point for the data I/O (this is default)\n", + " }\n", + "\n", + "# print the config\n", + "print('Modified Configuration\\n' + '-' * 50)\n", + "print(json.dumps(pynq_model_cfg, indent=2))\n", + "print('-' * 50)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Supported boards\n", + "\n", + "Here we print the list of supported boards, so you can see what else works \"out of the box\". It's relatively easy to add other Zynq SoC or Alveo boards, for example to add an Alveo U50 card targeting `xilinx_u50_gen3x16_xdma_5_202210_1` platform:\n", "\n", - "hls4ml.report.read_vivado_report('model_5/conifer_prj/')" + "```\n", + "u50 = conifer.backends.boards.AlveoConfig.default_config()\n", + "u50['xilinx_part'] = 'xcu50-fsvh2104-2-e'\n", + "u50['platform'] = 'xilinx_u50_gen3x16_xdma_5_202210_1'\n", + "u50['name'] = 'xilinx_u50_gen3x16_xdma_5_202210_1'\n", + "u50 = conifer.backends.boards.AlveoConfig(u50)\n", + "conifer.backends.boards.register_board_config(u50.name, u50)\n", + "```" ] }, { "cell_type": "code", "execution_count": null, - "id": "afb67ad4-22c4-4b80-bfc0-6e299e43592a", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "# This is the full list of supported boards:\n", + "print(f'Supported boards: {conifer.backends.boards.get_available_boards()}')" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Load the model\n", + "\n", + "We load the JSON for the conifer model we previously used, applying the new configuration just defined. We'll see that the FPGA part specified by the board overrides the `XilinxPart` specified in the default." + ] }, { "cell_type": "code", "execution_count": null, - "id": "c333e23f-40db-4758-b804-28a3ffc419fc", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "pynq_model = conifer.model.load_model('model_5/my_prj.json', new_config=pynq_model_cfg)\n", + "pynq_model.write()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Build the model\n", + "\n", + "Now we run `build` again, running HLS Synthesis, Logic Synthesis and Place & Route, finally producing a bitfile and an archive of files that we'll need to run inference on the pynq-z2 board. \n", + "\n", + "**Warning**: this step might take around 20 minutes to complete.\n", + "\n", + "The floorplan of the bitfile should like something like this, where the individual tree modules are highlighted in different colours:\n", + "\n", + "" + ] }, { "cell_type": "code", "execution_count": null, - "id": "1947ea43-c742-4ee6-b6bb-087f10b3ef53", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "pynq_model.build(synth=True, bitfile=True, package=True)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Inference on pynq-z2\n", + "\n", + "Running inference on the `pynq-z2` would look like this:\n", + "- download the `model_5/conifer_jettag.zip` archive from this notebook\n", + "- upload `conifer_jettag.zip` to the pynq-z2 device and unzip it\n", + "- start a jupyter notebook on the `pynq-z2` and run the following code:\n", + "\n", + "```\n", + "import conifer\n", + "accelerator = conifer.backends.xilinxhls.runtime.ZynqDriver('conifer_jettag.bit', batch_size=1)\n", + "X = ... # load some data \n", + "y_pynq = accelerator.decision_function(X)\n", + "```\n" + ] } ], "metadata": { @@ -323,9 +509,9 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.10" } }, "nbformat": 4, - "nbformat_minor": 5 + "nbformat_minor": 4 } From 331465fb5387d02a1e91bc86dfb214f0aeae7c75 Mon Sep 17 00:00:00 2001 From: "pre-commit-ci[bot]" <66853113+pre-commit-ci[bot]@users.noreply.github.com> Date: Thu, 26 Sep 2024 17:26:28 +0000 Subject: [PATCH 04/13] [pre-commit.ci] auto fixes from pre-commit hooks --- docker/vitis_cfg.txt | 1 - part5_bdt.ipynb | 28 ++++++++++++++++++---------- part6_cnns.ipynb | 8 ++++++-- 3 files changed, 24 insertions(+), 13 deletions(-) diff --git a/docker/vitis_cfg.txt b/docker/vitis_cfg.txt index 02163acf..01f07f35 100644 --- a/docker/vitis_cfg.txt +++ b/docker/vitis_cfg.txt @@ -30,4 +30,3 @@ CreateFileAssociation=1 # Choose whether disk usage will be optimized (reduced) after installation EnableDiskUsageOptimization=1 - diff --git a/part5_bdt.ipynb b/part5_bdt.ipynb index 841df462..b486e65f 100644 --- a/part5_bdt.ipynb +++ b/part5_bdt.ipynb @@ -40,6 +40,7 @@ "\n", "# enable more output from conifer\n", "import logging\n", + "\n", "logging.basicConfig(stream=sys.stdout, level=logging.WARNING)\n", "logger = logging.getLogger('conifer')\n", "logger.setLevel('DEBUG')\n", @@ -112,8 +113,7 @@ "metadata": {}, "outputs": [], "source": [ - "clf = xgb.XGBClassifier(n_estimators=25, max_depth=5, learning_rate=1.0,\n", - " random_state=seed).fit(X_train_val, y_train_val)" + "clf = xgb.XGBClassifier(n_estimators=25, max_depth=5, learning_rate=1.0, random_state=seed).fit(X_train_val, y_train_val)" ] }, { @@ -150,7 +150,10 @@ "# add a legend\n", "from matplotlib.lines import Line2D\n", "\n", - "lines = [Line2D([0], [0], ls='--'), Line2D([0], [0], ls='-'),]\n", + "lines = [\n", + " Line2D([0], [0], ls='--'),\n", + " Line2D([0], [0], ls='-'),\n", + "]\n", "from matplotlib.legend import Legend\n", "\n", "leg = Legend(ax, lines, labels=['part1 Keras', 'xgboost'], loc='lower right', frameon=False)\n", @@ -222,7 +225,7 @@ "# print the help to see the API on the conifer_model\n", "help(conifer_model)\n", "# write the project (writing HLS project to disk)\n", - "conifer_model.write() \n", + "conifer_model.write()\n", "# save the conifer model - we can load this again later\n", "clf.save_model('model_5/xgboost_model.json')" ] @@ -301,7 +304,7 @@ "metadata": {}, "outputs": [], "source": [ - "y_hls_proba = softmax(y_hls) # compute class probabilities from the raw predictions\n", + "y_hls_proba = softmax(y_hls) # compute class probabilities from the raw predictions\n", "\n", "print(f'Accuracy baseline: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_ref, axis=1)):.5f}')\n", "print(f'Accuracy xgboost: {accuracy_score(np.argmax(y_test_one_hot, axis=1), np.argmax(y_xgb, axis=1)):.5f}')\n", @@ -318,7 +321,11 @@ "# add a legend\n", "from matplotlib.lines import Line2D\n", "\n", - "lines = [Line2D([0], [0], ls='--'), Line2D([0], [0], ls=':'), Line2D([0], [0], ls='-'),]\n", + "lines = [\n", + " Line2D([0], [0], ls='--'),\n", + " Line2D([0], [0], ls=':'),\n", + " Line2D([0], [0], ls='-'),\n", + "]\n", "from matplotlib.legend import Legend\n", "\n", "leg = Legend(ax, lines, labels=['part1 Keras', 'xgboost', 'conifer'], loc='lower right', frameon=False)\n", @@ -390,11 +397,12 @@ "outputs": [], "source": [ "pynq_model_cfg = conifer.backends.xilinxhls.auto_config()\n", - "pynq_model_cfg['OutputDir'] = 'model_5_pynq' # choose a new project directory\n", + "pynq_model_cfg['OutputDir'] = 'model_5_pynq' # choose a new project directory\n", "pynq_model_cfg['ProjectName'] = 'conifer_jettag'\n", - "pynq_model_cfg['AcceleratorConfig'] = {'Board' : 'pynq-z2', # choose a pynq-z2 board\n", - " 'InterfaceType' : 'float' # floating point for the data I/O (this is default)\n", - " }\n", + "pynq_model_cfg['AcceleratorConfig'] = {\n", + " 'Board': 'pynq-z2', # choose a pynq-z2 board\n", + " 'InterfaceType': 'float', # floating point for the data I/O (this is default)\n", + "}\n", "\n", "# print the config\n", "print('Modified Configuration\\n' + '-' * 50)\n", diff --git a/part6_cnns.ipynb b/part6_cnns.ipynb index 2d9b0179..fa5e937d 100644 --- a/part6_cnns.ipynb +++ b/part6_cnns.ipynb @@ -1546,11 +1546,15 @@ "import plotting\n", "\n", "# First, the baseline model\n", - "hls_config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis', default_precision='ap_fixed<16,6>' )\n", + "hls_config = hls4ml.utils.config_from_keras_model(\n", + " model, granularity='name', backend='Vitis', default_precision='ap_fixed<16,6>'\n", + ")\n", "\n", "plotting.print_dict(hls_config)\n", "\n", - "hls_model = hls4ml.converters.keras_to_hls(model, hls_config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream')\n", + "hls_model = hls4ml.converters.keras_to_hls(\n", + " model, hls_config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream'\n", + ")\n", "hls_model.compile()" ] }, From ab77b8b11863961a9708d4b845cdfca8f84acebe Mon Sep 17 00:00:00 2001 From: Jan-Frederik Date: Tue, 1 Oct 2024 15:10:12 +0000 Subject: [PATCH 05/13] changes to source from stripped down image --- docker/settings64.sh | 7 +++++++ docker/start-notebook.sh | 2 +- 2 files changed, 8 insertions(+), 1 deletion(-) create mode 100755 docker/settings64.sh diff --git a/docker/settings64.sh b/docker/settings64.sh new file mode 100755 index 00000000..3e8de1b4 --- /dev/null +++ b/docker/settings64.sh @@ -0,0 +1,7 @@ +############################################################## +# Copyright (c) 1986-2024 Xilinx, Inc. All rights reserved. # +############################################################## + +source /opt/Xilinx//Model_Composer/2024.1/.settings64-Model_Composer.sh +source /opt/Xilinx//Vivado/2024.1/.settings64-Vivado.sh +source /opt/Xilinx//Vitis_HLS/2024.1/.settings64-Vitis_HLS.sh diff --git a/docker/start-notebook.sh b/docker/start-notebook.sh index d90d3c0e..11636248 100755 --- a/docker/start-notebook.sh +++ b/docker/start-notebook.sh @@ -5,7 +5,7 @@ set -e # setup vivado 2024.1 -source /opt/Xilinx/Vitis_HLS/2024.1/settings64.sh +source settings64.sh # The Jupyter command to launch # JupyterLab by default From 8a40f94dc66f9468df487299b907af9fc5b1b409 Mon Sep 17 00:00:00 2001 From: Jan-Frederik Date: Tue, 1 Oct 2024 18:55:01 +0000 Subject: [PATCH 06/13] fixes to run on Anvil at Purdue --- part1_getting_started.ipynb | 4 +- part2_advanced_config.ipynb | 14 +- part3_compression.ipynb | 1967 ++++++++++++++++++++++++++++++++- part4.1_HG_quantization.ipynb | 2 +- part4_quantization.ipynb | 4 +- part6_cnns.ipynb | 1863 ++++--------------------------- 6 files changed, 2161 insertions(+), 1693 deletions(-) diff --git a/part1_getting_started.ipynb b/part1_getting_started.ipynb index b0d7d960..4ce1126d 100644 --- a/part1_getting_started.ipynb +++ b/part1_getting_started.ipynb @@ -27,7 +27,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" ] }, { @@ -400,7 +400,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index 5df07dc0..26a65d1f 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -25,7 +25,7 @@ "import plotting\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" ] }, { @@ -167,7 +167,7 @@ "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, backend='Vitis', output_dir='model_1_vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='Vitis', output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")" ] }, @@ -293,7 +293,7 @@ "# Set the ReuseFactor to 2 throughout\n", "config['Model']['ReuseFactor'] = 2\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, backend='vitis', output_dir='model_1)vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, backend='vitis', output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")\n", "hls_model.compile()\n", "y_hls = hls_model.predict(X_test)\n", @@ -315,7 +315,7 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_1_vivado/hls4ml_prj_2/vivado_hls.log`" + "`tail -f model_1_vivado/hls4ml_prj_2/vitis_hls.log`" ] }, { @@ -340,7 +340,7 @@ "metadata": {}, "outputs": [], "source": [ - "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj_2')" + "hls4ml.report.read_vivado_report('model_1/hls4ml_prj_2')" ] }, { @@ -349,7 +349,7 @@ "metadata": {}, "outputs": [], "source": [ - "hls4ml.report.read_vivado_report('model_1_vivado/hls4ml_prj')" + "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] }, { @@ -378,7 +378,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, diff --git a/part3_compression.ipynb b/part3_compression.ipynb index ba492ea5..36c8f7e9 100644 --- a/part3_compression.ipynb +++ b/part3_compression.ipynb @@ -9,9 +9,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-10-01 15:33:16.750194: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -28,7 +37,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" ] }, { @@ -40,7 +49,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -62,7 +71,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -75,9 +84,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-10-01 15:33:19.249070: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" + ] + } + ], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -100,9 +118,19 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", + "Instructions for updating:\n", + "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" + ] + } + ], "source": [ "from tensorflow_model_optimization.python.core.sparsity.keras import prune, pruning_callbacks, pruning_schedule\n", "from tensorflow_model_optimization.sparsity.keras import strip_pruning\n", @@ -124,9 +152,559 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:absl:`lr` is deprecated, please use `learning_rate` instead, or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Epoch 1/30\n", + " 1/487 [..............................] - ETA: 14:35 - loss: 1.7625 - accuracy: 0.1592WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0019s vs `on_train_batch_end` time: 0.0042s). Check your callbacks.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0019s vs `on_train_batch_end` time: 0.0042s). Check your callbacks.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "475/487 [============================>.] - ETA: 0s - loss: 0.9591 - accuracy: 0.6827\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.83086, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 1: val_loss improved from inf to 0.83086, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 1: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 1: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 3s 3ms/step - loss: 0.9559 - accuracy: 0.6840 - val_loss: 0.8309 - val_accuracy: 0.7328 - lr: 0.0010\n", + "Epoch 2/30\n", + "468/487 [===========================>..] - ETA: 0s - loss: 0.7994 - accuracy: 0.7401\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 2: val_loss improved from 0.83086 to 0.78328, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 2: val_loss improved from 0.83086 to 0.78328, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 2: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 2: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7986 - accuracy: 0.7404 - val_loss: 0.7833 - val_accuracy: 0.7449 - lr: 0.0010\n", + "Epoch 3/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.7686 - accuracy: 0.7491\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 3: val_loss improved from 0.78328 to 0.76620, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 3: val_loss improved from 0.78328 to 0.76620, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 3: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 3: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7683 - accuracy: 0.7492 - val_loss: 0.7662 - val_accuracy: 0.7497 - lr: 0.0010\n", + "Epoch 4/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.7529 - accuracy: 0.7530\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 4: val_loss improved from 0.76620 to 0.75067, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 4: val_loss improved from 0.76620 to 0.75067, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 4: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 4: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7529 - accuracy: 0.7530 - val_loss: 0.7507 - val_accuracy: 0.7537 - lr: 0.0010\n", + "Epoch 5/30\n", + "484/487 [============================>.] - ETA: 0s - loss: 0.7767 - accuracy: 0.7406\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 5: val_loss improved from 0.75067 to 0.74539, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 5: val_loss improved from 0.75067 to 0.74539, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 5: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 5: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7765 - accuracy: 0.7407 - val_loss: 0.7454 - val_accuracy: 0.7502 - lr: 0.0010\n", + "Epoch 6/30\n", + "478/487 [============================>.] - ETA: 0s - loss: 0.7353 - accuracy: 0.7519\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 6: val_loss improved from 0.74539 to 0.73481, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 6: val_loss improved from 0.74539 to 0.73481, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 6: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 6: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7353 - accuracy: 0.7519 - val_loss: 0.7348 - val_accuracy: 0.7527 - lr: 0.0010\n", + "Epoch 7/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.7274 - accuracy: 0.7538\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 7: val_loss improved from 0.73481 to 0.72871, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 7: val_loss improved from 0.73481 to 0.72871, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 7: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 7: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7271 - accuracy: 0.7539 - val_loss: 0.7287 - val_accuracy: 0.7541 - lr: 0.0010\n", + "Epoch 8/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.7221 - accuracy: 0.7551\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 8: val_loss improved from 0.72871 to 0.72423, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 8: val_loss improved from 0.72871 to 0.72423, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 8: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 8: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7219 - accuracy: 0.7552 - val_loss: 0.7242 - val_accuracy: 0.7551 - lr: 0.0010\n", + "Epoch 9/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.7177 - accuracy: 0.7562\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 9: val_loss improved from 0.72423 to 0.72103, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 9: val_loss improved from 0.72423 to 0.72103, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 9: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 9: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7176 - accuracy: 0.7562 - val_loss: 0.7210 - val_accuracy: 0.7558 - lr: 0.0010\n", + "Epoch 10/30\n", + "470/487 [===========================>..] - ETA: 0s - loss: 0.7140 - accuracy: 0.7570\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 10: val_loss improved from 0.72103 to 0.71718, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 10: val_loss improved from 0.72103 to 0.71718, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 10: saving model to model_2/KERAS_check_model_epoch10.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 1s 3ms/step - loss: 0.7143 - accuracy: 0.7569 - val_loss: 0.7172 - val_accuracy: 0.7570 - lr: 0.0010\n", + "Epoch 11/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.7116 - accuracy: 0.7572\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 11: val_loss improved from 0.71718 to 0.71467, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 11: val_loss improved from 0.71718 to 0.71467, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 11: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 11: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.7115 - accuracy: 0.7573 - val_loss: 0.7147 - val_accuracy: 0.7574 - lr: 0.0010\n", + "Epoch 12/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.7087 - accuracy: 0.7578\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 12: val_loss improved from 0.71467 to 0.71339, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 12: val_loss improved from 0.71467 to 0.71339, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 12: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 12: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7088 - accuracy: 0.7578 - val_loss: 0.7134 - val_accuracy: 0.7570 - lr: 0.0010\n", + "Epoch 13/30\n", + "472/487 [============================>.] - ETA: 0s - loss: 0.7065 - accuracy: 0.7585\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 13: val_loss improved from 0.71339 to 0.70977, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 13: val_loss improved from 0.71339 to 0.70977, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 13: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 13: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7062 - accuracy: 0.7586 - val_loss: 0.7098 - val_accuracy: 0.7578 - lr: 0.0010\n", + "Epoch 14/30\n", + "486/487 [============================>.] - ETA: 0s - loss: 0.7042 - accuracy: 0.7591\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 14: val_loss improved from 0.70977 to 0.70828, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 14: val_loss improved from 0.70977 to 0.70828, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 14: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 14: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7042 - accuracy: 0.7591 - val_loss: 0.7083 - val_accuracy: 0.7586 - lr: 0.0010\n", + "Epoch 15/30\n", + "476/487 [============================>.] - ETA: 0s - loss: 0.7026 - accuracy: 0.7595\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 15: val_loss improved from 0.70828 to 0.70600, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 15: val_loss improved from 0.70828 to 0.70600, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 15: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 15: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 3ms/step - loss: 0.7022 - accuracy: 0.7596 - val_loss: 0.7060 - val_accuracy: 0.7589 - lr: 0.0010\n", + "Epoch 16/30\n", + "481/487 [============================>.] - ETA: 0s - loss: 0.7003 - accuracy: 0.7602\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 16: val_loss improved from 0.70600 to 0.70526, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 16: val_loss improved from 0.70600 to 0.70526, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 16: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 16: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.7003 - accuracy: 0.7603 - val_loss: 0.7053 - val_accuracy: 0.7591 - lr: 0.0010\n", + "Epoch 17/30\n", + "474/487 [============================>.] - ETA: 0s - loss: 0.6989 - accuracy: 0.7605\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 17: val_loss improved from 0.70526 to 0.70468, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 17: val_loss improved from 0.70526 to 0.70468, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 17: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 17: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6990 - accuracy: 0.7604 - val_loss: 0.7047 - val_accuracy: 0.7594 - lr: 0.0010\n", + "Epoch 18/30\n", + "469/487 [===========================>..] - ETA: 0s - loss: 0.6971 - accuracy: 0.7612\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 18: val_loss improved from 0.70468 to 0.70302, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 18: val_loss improved from 0.70468 to 0.70302, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 18: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 18: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 3s 6ms/step - loss: 0.6977 - accuracy: 0.7610 - val_loss: 0.7030 - val_accuracy: 0.7600 - lr: 0.0010\n", + "Epoch 19/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.6970 - accuracy: 0.7611\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 19: val_loss improved from 0.70302 to 0.70147, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 19: val_loss improved from 0.70302 to 0.70147, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 19: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 19: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6965 - accuracy: 0.7612 - val_loss: 0.7015 - val_accuracy: 0.7600 - lr: 0.0010\n", + "Epoch 20/30\n", + "471/487 [============================>.] - ETA: 0s - loss: 0.6955 - accuracy: 0.7616\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 20: val_loss improved from 0.70147 to 0.70062, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 20: val_loss improved from 0.70147 to 0.70062, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 20: saving model to model_2/KERAS_check_model_epoch20.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6953 - accuracy: 0.7616 - val_loss: 0.7006 - val_accuracy: 0.7605 - lr: 0.0010\n", + "Epoch 21/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.6944 - accuracy: 0.7619\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 21: val_loss improved from 0.70062 to 0.70001, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 21: val_loss improved from 0.70062 to 0.70001, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 21: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 21: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6944 - accuracy: 0.7618 - val_loss: 0.7000 - val_accuracy: 0.7606 - lr: 0.0010\n", + "Epoch 22/30\n", + "472/487 [============================>.] - ETA: 0s - loss: 0.6939 - accuracy: 0.7619\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 22: val_loss improved from 0.70001 to 0.69859, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 22: val_loss improved from 0.70001 to 0.69859, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 22: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 22: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6936 - accuracy: 0.7620 - val_loss: 0.6986 - val_accuracy: 0.7612 - lr: 0.0010\n", + "Epoch 23/30\n", + "475/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7621\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 23: val_loss did not improve from 0.69859\n", + "\n", + "Epoch 23: val_loss did not improve from 0.69859\n", + "\n", + "Epoch 23: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 23: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6928 - accuracy: 0.7622 - val_loss: 0.6986 - val_accuracy: 0.7609 - lr: 0.0010\n", + "Epoch 24/30\n", + "485/487 [============================>.] - ETA: 0s - loss: 0.6920 - accuracy: 0.7626\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 24: val_loss improved from 0.69859 to 0.69731, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 24: val_loss improved from 0.69859 to 0.69731, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 24: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 24: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6921 - accuracy: 0.7625 - val_loss: 0.6973 - val_accuracy: 0.7616 - lr: 0.0010\n", + "Epoch 25/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.6915 - accuracy: 0.7625\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 25: val_loss did not improve from 0.69731\n", + "\n", + "Epoch 25: val_loss did not improve from 0.69731\n", + "\n", + "Epoch 25: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 25: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6914 - accuracy: 0.7625 - val_loss: 0.6973 - val_accuracy: 0.7612 - lr: 0.0010\n", + "Epoch 26/30\n", + "487/487 [==============================] - ETA: 0s - loss: 0.6907 - accuracy: 0.7629\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 26: val_loss improved from 0.69731 to 0.69698, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 26: val_loss improved from 0.69731 to 0.69698, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 26: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 26: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 5ms/step - loss: 0.6907 - accuracy: 0.7629 - val_loss: 0.6970 - val_accuracy: 0.7613 - lr: 0.0010\n", + "Epoch 27/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.6902 - accuracy: 0.7630\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 27: val_loss improved from 0.69698 to 0.69554, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 27: val_loss improved from 0.69698 to 0.69554, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 27: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 27: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6901 - accuracy: 0.7631 - val_loss: 0.6955 - val_accuracy: 0.7616 - lr: 0.0010\n", + "Epoch 28/30\n", + "483/487 [============================>.] - ETA: 0s - loss: 0.6897 - accuracy: 0.7631\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 28: val_loss improved from 0.69554 to 0.69541, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 28: val_loss improved from 0.69554 to 0.69541, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 28: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 28: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6897 - accuracy: 0.7630 - val_loss: 0.6954 - val_accuracy: 0.7616 - lr: 0.0010\n", + "Epoch 29/30\n", + "477/487 [============================>.] - ETA: 0s - loss: 0.6890 - accuracy: 0.7635\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 29: val_loss improved from 0.69541 to 0.69460, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 29: val_loss improved from 0.69541 to 0.69460, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 29: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 29: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6893 - accuracy: 0.7633 - val_loss: 0.6946 - val_accuracy: 0.7623 - lr: 0.0010\n", + "Epoch 30/30\n", + "480/487 [============================>.] - ETA: 0s - loss: 0.6890 - accuracy: 0.7630\n", + "***callbacks***\n", + "saving losses to model_2/losses.log\n", + "\n", + "Epoch 30: val_loss improved from 0.69460 to 0.69456, saving model to model_2/KERAS_check_best_model.h5\n", + "\n", + "Epoch 30: val_loss improved from 0.69460 to 0.69456, saving model to model_2/KERAS_check_best_model_weights.h5\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_last.h5\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_last_weights.h5\n", + "\n", + "Epoch 30: saving model to model_2/KERAS_check_model_epoch30.h5\n", + "\n", + "***callbacks end***\n", + "\n", + "487/487 [==============================] - 2s 4ms/step - loss: 0.6888 - accuracy: 0.7631 - val_loss: 0.6946 - val_accuracy: 0.7622 - lr: 0.0010\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + } + ], "source": [ "train = True\n", "if train:\n", @@ -146,7 +724,7 @@ " X_train_val,\n", " y_train_val,\n", " batch_size=1024,\n", - " epochs=30,\n", + " epochs=10,\n", " validation_split=0.25,\n", " shuffle=True,\n", " callbacks=callbacks.callbacks,\n", @@ -170,9 +748,27 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "% of zeros = 0.75\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "

    " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "w = model.layers[0].weights[0].numpy()\n", "h, b = np.histogram(w, bins=100)\n", @@ -193,9 +789,40 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 3s 607us/step\n", + "5188/5188 [==============================] - 3s 567us/step\n", + "Accuracy unpruned: 0.7600481927710844\n", + "Accuracy pruned: 0.7610060240963855\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -241,9 +868,534 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING: Failed to import handlers from convolution.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from core.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from merge.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from pooling.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from reshape.py: No module named 'torch'.\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Writing HLS project\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/opt/conda/lib/python3.10/site-packages/hls4ml/converters/__init__.py:27: UserWarning: WARNING: Pytorch converter is not enabled!\n", + " warnings.warn(\"WARNING: Pytorch converter is not enabled!\", stacklevel=1)\n", + "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Done\n", + "\n", + "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", + " **** SW Build 5069499 on May 21 2024\n", + " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", + " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", + " **** Start of session at: Tue Oct 1 15:34:36 2024\n", + " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", + " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", + "\n", + "source /opt/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", + "INFO: [HLS 200-10] For user 'x-jschulte' on host 'jupyter-jschulte' (Linux_x86_64 version 4.18.0-425.3.1.el8.x86_64) on Tue Oct 01 15:34:38 UTC 2024\n", + "INFO: [HLS 200-10] In directory '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj'\n", + "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", + "Sourcing Tcl script 'build_prj.tcl'\n", + "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", + "INFO: [HLS 200-10] Creating and opening project '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj'.\n", + "INFO: [HLS 200-1510] Running: set_top myproject \n", + "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", + "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", + "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", + "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", + "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", + "INFO: [HLS 200-1510] Running: open_solution solution1 \n", + "INFO: [HLS 200-10] Creating and opening solution '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1'.\n", + "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", + "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", + "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", + "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", + "SYNTAX \n", + " config_array_partition [OPTIONS]\n", + " -auto_partition_threshold *** DEPRECATED***\n", + " -auto_promotion_threshold *** DEPRECATED***\n", + " -complete_threshold \n", + " -throughput_driven \n", + "\n", + "SEE ALSO\n", + " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", + " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", + "\n", + "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", + "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", + "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", + "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", + "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", + "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", + "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", + "***** C/RTL SYNTHESIS *****\n", + "INFO: [HLS 200-1510] Running: csynth_design \n", + "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.09 seconds; current allocated memory: 254.863 MB.\n", + "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", + "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", + "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", + "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", + "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 9.24 seconds. CPU system time: 0.84 seconds. Elapsed time: 10.71 seconds; current allocated memory: 259.691 MB.\n", + "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", + "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "WARNING: [HLS 200-1995] There were 235,993 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 69,395 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 68,869 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 68,404 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 21,842 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,478 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,478 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,510 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,462 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,432 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,240 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,046 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,046 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,052 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 200-1995] There were 6,059 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", + "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", + "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", + "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", + "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", + "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", + "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", + "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", + "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", + "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", + "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", + "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", + "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", + "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", + "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 63.38 seconds. CPU system time: 0.68 seconds. Elapsed time: 70.32 seconds; current allocated memory: 275.297 MB.\n", + "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0.01 seconds. Elapsed time: 0 seconds; current allocated memory: 275.297 MB.\n", + "INFO: [HLS 200-10] Starting code transformations ...\n", + "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 283.762 MB.\n", + "INFO: [HLS 200-10] Checking synthesizability ...\n", + "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.21 seconds. CPU system time: 0 seconds. Elapsed time: 0.22 seconds; current allocated memory: 289.352 MB.\n", + "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", + "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...255 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...509 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...235 expression(s) balanced.\n", + "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", + "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 0.61 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.63 seconds; current allocated memory: 325.742 MB.\n", + "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 0.72 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.74 seconds; current allocated memory: 419.734 MB.\n", + "INFO: [HLS 200-10] Starting hardware synthesis ...\n", + "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.09 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.13 seconds; current allocated memory: 429.500 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.47 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.49 seconds; current allocated memory: 451.191 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.19 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.2 seconds; current allocated memory: 451.191 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.04 seconds; current allocated memory: 451.191 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.93 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.95 seconds; current allocated memory: 454.234 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.48 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.51 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.24 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.25 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.02 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.87 seconds. CPU system time: 0 seconds. Elapsed time: 0.88 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.43 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.44 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.14 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.18 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Starting global binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.09 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.02 seconds. CPU system time: 0 seconds. Elapsed time: 0.02 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SCHED 204-11] Starting scheduling ...\n", + "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", + "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", + "INFO: [SCHED 204-11] Finished scheduling.\n", + "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.12 seconds. CPU system time: 0 seconds. Elapsed time: 0.13 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", + "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", + "INFO: [BIND 205-101] Exploring resource sharing.\n", + "INFO: [BIND 205-101] Binding ...\n", + "INFO: [BIND 205-100] Finished micro-architecture generation.\n", + "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.07 seconds. CPU system time: 0 seconds. Elapsed time: 0.07 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 36 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 44 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 19 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 24 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 7 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 10 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 15 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 12 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.3 seconds; current allocated memory: 524.391 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.47 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.52 seconds; current allocated memory: 532.887 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 12510 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 72 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 48 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 23 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 10 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 7 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 12 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 26 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 66 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 43 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.49 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.51 seconds; current allocated memory: 560.016 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.84 seconds. CPU system time: 0.06 seconds. Elapsed time: 0.97 seconds; current allocated memory: 609.875 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 6282 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 36 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 26 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 15 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 9 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 4 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 7 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 8 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 11 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 16 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 17 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 612.387 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.4 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.44 seconds; current allocated memory: 640.633 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 3 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 13 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 6 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13ns_26_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 1 instance(s).\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 2 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.11 seconds. CPU system time: 0 seconds. Elapsed time: 0.12 seconds; current allocated memory: 650.402 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", + "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", + "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", + "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.11 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.12 seconds; current allocated memory: 655.074 MB.\n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", + "INFO: [HLS 200-10] ----------------------------------------------------------------\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", + "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", + "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", + "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", + "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.26 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.28 seconds; current allocated memory: 672.930 MB.\n", + "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.39 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.43 seconds; current allocated memory: 678.094 MB.\n", + "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 0.88 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.91 seconds; current allocated memory: 704.215 MB.\n", + "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", + "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", + "INFO: [HLS 200-789] **** Estimated Fmax: 239.69 MHz\n", + "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:35; Allocated memory: 449.352 MB.\n", + "***** C/RTL SYNTHESIS COMPLETED IN 0h1m35s *****\n", + "INFO: [HLS 200-112] Total CPU user time: 89.48 seconds. Total CPU system time: 2.19 seconds. Total elapsed time: 98.85 seconds; peak allocated memory: 704.215 MB.\n", + "Vivado synthesis report not found.\n", + "Cosim report not found.\n", + "Timing report not found.\n" + ] + }, + { + "data": { + "text/plain": [ + "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", + " 'EstimatedClockPeriod': '4.172',\n", + " 'BestLatency': '12',\n", + " 'WorstLatency': '12',\n", + " 'IntervalMin': '1',\n", + " 'IntervalMax': '1',\n", + " 'BRAM_18K': '8',\n", + " 'DSP': '813',\n", + " 'FF': '5258',\n", + " 'LUT': '34698',\n", + " 'URAM': '0',\n", + " 'AvailableBRAM_18K': '5376',\n", + " 'AvailableDSP': '12288',\n", + " 'AvailableFF': '3456000',\n", + " 'AvailableLUT': '1728000',\n", + " 'AvailableURAM': '1280'}}" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ "import hls4ml\n", "\n", @@ -266,9 +1418,379 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_2/hls4ml_prj//myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Tue Oct 1 15:36:13 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_223 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_319 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_347 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 10| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 813| 2218| 34652| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3040| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 813| 5258| 34698| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 26| ~0| 8| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 6| ~0| 2| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_319 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 38| 0| 1012| 0|\n", + " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 216| 0| 6897| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 373| 1185| 14339| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 181| 641| 7396| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 912| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2166| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_223 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1140| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_347 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 813| 2218| 34652| 0|\n", + " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp131 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp194 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp251 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 10| 5| 6|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2122 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2127 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2132 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2137 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2142 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2147 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2152 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2157 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2162 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2167 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2072 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2172 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2177 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2182 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2117 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2077 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2082 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2087 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2092 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2097 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2102 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2107 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2112 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2067 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2192 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2197 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2202 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2207 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2187 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1402 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1407 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1412 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1417 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1422 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1427 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1432 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1437 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1442 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1447 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1352 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1452 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1457 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1462 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1467 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1472 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1477 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1482 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1487 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1492 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1497 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1357 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1502 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1507 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1512 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1517 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1522 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1527 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1362 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1367 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1397 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1372 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1377 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1382 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1387 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1392 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1347 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1687 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1692 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1697 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1702 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1707 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1712 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1717 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1722 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1727 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1732 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1637 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1737 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1742 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1747 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1752 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1757 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1762 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1767 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1772 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1777 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1782 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1642 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1787 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_1792 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_1797 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_1802 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_1807 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_1812 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_1817 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_1822 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_1827 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_1832 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1647 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_1837 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_1842 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_1847 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1652 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_1682 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1657 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1662 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1667 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1672 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1677 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1632 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_1972 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_1977 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_1982 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_1987 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_1992 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_1997 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2002 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2007 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2012 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2017 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_1922 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2022 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2027 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2032 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2037 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2042 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2047 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2052 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2057 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2062 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_1967 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_1927 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_1932 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_1937 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_1942 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_1947 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_1952 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_1957 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_1962 | 16| 0| 16| 0|\n", + " |layer7_out_reg_1917 | 16| 0| 16| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3040| 0| 3040| 0|\n", + " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj/')" ] @@ -282,9 +1804,408 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 11, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", + "Reports for solution \"solution1\":\n", + "\n", + "C simulation report not found.\n", + "SYNTHESIS REPORT:\n", + "================================================================\n", + "== Vitis HLS Report for 'myproject'\n", + "================================================================\n", + "* Date: Tue Oct 1 15:19:59 2024\n", + "\n", + "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", + "* Project: myproject_prj\n", + "* Solution: solution1 (Vivado IP Flow Target)\n", + "* Product family: virtexuplus\n", + "* Target device: xcu250-figd2104-2L-e\n", + "\n", + "\n", + "================================================================\n", + "== Performance Estimates\n", + "================================================================\n", + "+ Timing: \n", + " * Summary: \n", + " +--------+---------+----------+------------+\n", + " | Clock | Target | Estimated| Uncertainty|\n", + " +--------+---------+----------+------------+\n", + " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", + " +--------+---------+----------+------------+\n", + "\n", + "+ Latency: \n", + " * Summary: \n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | min | max | min | max | min | max | Type |\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", + " +---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " + Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", + " | Instance | Module | min | max | min | max | min | max | Type |\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", + "\n", + " * Loop: \n", + " N/A\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Utilization Estimates\n", + "================================================================\n", + "* Summary: \n", + "+---------------------+---------+-------+---------+---------+------+\n", + "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|DSP | -| -| -| -| -|\n", + "|Expression | -| -| 0| 14| -|\n", + "|FIFO | -| -| -| -| -|\n", + "|Instance | 8| 2242| 7604| 119954| -|\n", + "|Memory | -| -| -| -| -|\n", + "|Multiplexer | -| -| 0| 36| -|\n", + "|Register | -| -| 3427| -| -|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Total | 8| 2242| 11031| 120004| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization SLR (%) | ~0| 72| 1| 27| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "|Utilization (%) | ~0| 18| ~0| 6| 0|\n", + "+---------------------+---------+-------+---------+---------+------+\n", + "\n", + "+ Detail: \n", + " * Instance: \n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 119| 306| 4294| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 690| 1344| 27626| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 907| 3817| 56158| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 521| 1745| 26222| 0|\n", + " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", + " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", + " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", + " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + " |Total | | 8| 2242| 7604| 119954| 0|\n", + " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", + "\n", + " * DSP: \n", + " N/A\n", + "\n", + " * Memory: \n", + " N/A\n", + "\n", + " * FIFO: \n", + " N/A\n", + "\n", + " * Expression: \n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", + " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", + " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + " |Total | | 0| 0| 14| 7| 8|\n", + " +-------------------------------------------+----------+----+---+----+------------+------------+\n", + "\n", + " * Multiplexer: \n", + " +-------------------------+----+-----------+-----+-----------+\n", + " | Name | LUT| Input Size| Bits| Total Bits|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", + " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", + " |fc1_input_blk_n | 9| 2| 1| 2|\n", + " |fc1_input_in_sig | 9| 2| 256| 512|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + " |Total | 36| 8| 259| 518|\n", + " +-------------------------+----+-----------+-----+-----------+\n", + "\n", + " * Register: \n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " | Name | FF | LUT| Bits| Const Bits|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |ap_CS_fsm | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", + " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", + " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", + " |fc1_input_preg | 256| 0| 256| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", + " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", + " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", + " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", + " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", + " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", + " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", + " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", + " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", + " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", + " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", + " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", + " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", + " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", + " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", + " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", + " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", + " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", + " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", + " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", + " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", + " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", + " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", + " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", + " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", + " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", + " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", + " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", + " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", + " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", + " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", + " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", + " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", + " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", + " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", + " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", + " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", + " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", + " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", + " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", + " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", + " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", + " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", + " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", + " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", + " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", + " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", + " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", + " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", + " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", + " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", + " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", + " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", + " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", + " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", + " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", + " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", + " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", + " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", + " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", + " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", + " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", + " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", + " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", + " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", + " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", + " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", + " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", + " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", + " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", + " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", + " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", + " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", + " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", + " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", + " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", + " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", + " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", + " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", + " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", + " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", + " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", + " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", + " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", + " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", + " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", + " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", + " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", + " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", + " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", + " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", + " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", + " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", + " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", + " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", + " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", + " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", + " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", + " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", + " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", + " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", + " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", + " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", + " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", + " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", + " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", + " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", + " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", + " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", + " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", + " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", + " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", + " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", + " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", + " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", + " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", + " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", + " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", + " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", + " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", + " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", + " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", + " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", + " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", + " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", + " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", + " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", + " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", + " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", + " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", + " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", + " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", + " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", + " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", + " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", + " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", + " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", + " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", + " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", + " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", + " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", + " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", + " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", + " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", + " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", + " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", + " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", + " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", + " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", + " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", + " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", + " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", + " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", + " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", + " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", + " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", + " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", + " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", + " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", + " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", + " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", + " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", + " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", + " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", + " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", + " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", + " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", + " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", + " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", + " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", + " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", + " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", + " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", + " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", + " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", + " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", + " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", + " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", + " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", + " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", + " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", + " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", + " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", + " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", + " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", + " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", + " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", + " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", + " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", + " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", + " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", + " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", + " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", + " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", + " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", + " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", + " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", + " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", + " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + " |Total | 3427| 0| 3427| 0|\n", + " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", + "\n", + "\n", + "\n", + "================================================================\n", + "== Interface\n", + "================================================================\n", + "* Summary: \n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", + "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", + "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", + "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", + "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", + "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", + "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", + "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", + "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", + "+----------------------+-----+-----+------------+---------------+--------------+\n", + "\n", + "Co-simulation report not found.\n" + ] + } + ], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] @@ -313,7 +2234,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, diff --git a/part4.1_HG_quantization.ipynb b/part4.1_HG_quantization.ipynb index d4cc8b15..b236cb51 100644 --- a/part4.1_HG_quantization.ipynb +++ b/part4.1_HG_quantization.ipynb @@ -473,7 +473,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, diff --git a/part4_quantization.ipynb b/part4_quantization.ipynb index 60d5f382..5b0583a3 100644 --- a/part4_quantization.ipynb +++ b/part4_quantization.ipynb @@ -28,7 +28,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" ] }, { @@ -398,7 +398,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, diff --git a/part6_cnns.ipynb b/part6_cnns.ipynb index 2d9b0179..f0e079e6 100644 --- a/part6_cnns.ipynb +++ b/part6_cnns.ipynb @@ -36,9 +36,18 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-10-01 15:34:57.319698: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" + ] + } + ], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", @@ -62,27 +71,27 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 2, "metadata": {}, "outputs": [ { - "name": "stderr", + "name": "stdout", "output_type": "stream", "text": [ - "2024-09-19 12:24:08.141816: W tensorflow/tsl/platform/cloud/google_auth_provider.cc:184] All attempts to get a Google authentication bearer token failed, returning an empty token. Retrieving token from files failed with \"NOT_FOUND: Could not locate the credentials file.\". Retrieving token from GCE failed with \"FAILED_PRECONDITION: Error executing an HTTP request: libcurl code 6 meaning 'Couldn't resolve host name', error details: Could not resolve host: metadata.google.internal\".\n" + "\u001b[1mDownloading and preparing dataset Unknown size (download: Unknown size, generated: Unknown size, total: Unknown size) to /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0...\u001b[0m\n" ] }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "\u001b[1mDownloading and preparing dataset Unknown size (download: Unknown size, generated: Unknown size, total: Unknown size) to /home/jschulte/tensorflow_datasets/svhn_cropped/3.0.0...\u001b[0m\n" + "2024-10-01 15:34:59.977365: W tensorflow/core/platform/cloud/google_auth_provider.cc:184] All attempts to get a Google authentication bearer token failed, returning an empty token. Retrieving token from files failed with \"NOT_FOUND: Could not locate the credentials file.\". Retrieving token from GCE failed with \"FAILED_PRECONDITION: Error executing an HTTP request: libcurl code 6 meaning 'Couldn't resolve host name', error details: Could not resolve host: metadata\".\n" ] }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "dca9c4f0012e4ed2be7a117cb83eb8cc", + "model_id": "fc65afd483fb40f4b52f2a7a0aa9aece", "version_major": 2, "version_minor": 0 }, @@ -96,7 +105,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "a5f43a1d2c154a0ea1a7e6b2775e0094", + "model_id": "e526ddb4a9c741a98a6c070369153736", "version_major": 2, "version_minor": 0 }, @@ -139,8 +148,8 @@ "name": "stderr", "output_type": "stream", "text": [ - "2024-09-19 12:25:45.325243: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" + "2024-10-01 15:36:46.399457: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" ] }, { @@ -151,7 +160,7 @@ "version_minor": 0 }, "text/plain": [ - "Shuffling /home/jschulte/tensorflow_datasets/svhn_cropped/incomplete.1IBHCZ_3.0.0/svhn_cropped-train.tfrecord*…" + "Shuffling /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0.incompleteG0DRZE/svhn_cropped-train.tfrecord…" ] }, "metadata": {}, @@ -179,7 +188,7 @@ "version_minor": 0 }, "text/plain": [ - "Shuffling /home/jschulte/tensorflow_datasets/svhn_cropped/incomplete.1IBHCZ_3.0.0/svhn_cropped-test.tfrecord*.…" + "Shuffling /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0.incompleteG0DRZE/svhn_cropped-test.tfrecord*…" ] }, "metadata": {}, @@ -207,7 +216,7 @@ "version_minor": 0 }, "text/plain": [ - "Shuffling /home/jschulte/tensorflow_datasets/svhn_cropped/incomplete.1IBHCZ_3.0.0/svhn_cropped-extra.tfrecord*…" + "Shuffling /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0.incompleteG0DRZE/svhn_cropped-extra.tfrecord…" ] }, "metadata": {}, @@ -217,13 +226,13 @@ "name": "stdout", "output_type": "stream", "text": [ - "\u001b[1mDataset svhn_cropped downloaded and prepared to /home/jschulte/tensorflow_datasets/svhn_cropped/3.0.0. Subsequent calls will reuse this data.\u001b[0m\n", + "\u001b[1mDataset svhn_cropped downloaded and prepared to /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0. Subsequent calls will reuse this data.\u001b[0m\n", "Training on 73257 samples of input shape (32, 32, 3), belonging to 10 classes\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
    " ] @@ -259,7 +268,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 3, "metadata": {}, "outputs": [], "source": [ @@ -271,7 +280,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 4, "metadata": {}, "outputs": [ { @@ -319,7 +328,7 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 5, "metadata": {}, "outputs": [ { @@ -339,8 +348,8 @@ " \n", " conv_0 (Conv2D) (None, 30, 30, 16) 432 \n", " \n", - " bn_conv_0 (BatchNormalizat (None, 30, 30, 16) 64 \n", - " ion) \n", + " bn_conv_0 (BatchNormalizati (None, 30, 30, 16) 64 \n", + " on) \n", " \n", " conv_act_0 (Activation) (None, 30, 30, 16) 0 \n", " \n", @@ -348,8 +357,8 @@ " \n", " conv_1 (Conv2D) (None, 13, 13, 16) 2304 \n", " \n", - " bn_conv_1 (BatchNormalizat (None, 13, 13, 16) 64 \n", - " ion) \n", + " bn_conv_1 (BatchNormalizati (None, 13, 13, 16) 64 \n", + " on) \n", " \n", " conv_act_1 (Activation) (None, 13, 13, 16) 0 \n", " \n", @@ -357,8 +366,8 @@ " \n", " conv_2 (Conv2D) (None, 4, 4, 24) 3456 \n", " \n", - " bn_conv_2 (BatchNormalizat (None, 4, 4, 24) 96 \n", - " ion) \n", + " bn_conv_2 (BatchNormalizati (None, 4, 4, 24) 96 \n", + " on) \n", " \n", " conv_act_2 (Activation) (None, 4, 4, 24) 0 \n", " \n", @@ -368,27 +377,26 @@ " \n", " dense_0 (Dense) (None, 42) 4032 \n", " \n", - " bn_dense_0 (BatchNormaliza (None, 42) 168 \n", - " tion) \n", + " bn_dense_0 (BatchNormalizat (None, 42) 168 \n", + " ion) \n", " \n", " dense_act_0 (Activation) (None, 42) 0 \n", " \n", " dense_1 (Dense) (None, 64) 2688 \n", " \n", - " bn_dense_1 (BatchNormaliza (None, 64) 256 \n", - " tion) \n", + " bn_dense_1 (BatchNormalizat (None, 64) 256 \n", + " ion) \n", " \n", " dense_act_1 (Activation) (None, 64) 0 \n", " \n", " output_dense (Dense) (None, 10) 650 \n", " \n", - " output_softmax (Activation (None, 10) 0 \n", - " ) \n", + " output_softmax (Activation) (None, 10) 0 \n", " \n", "=================================================================\n", - "Total params: 14210 (55.51 KB)\n", - "Trainable params: 13886 (54.24 KB)\n", - "Non-trainable params: 324 (1.27 KB)\n", + "Total params: 14,210\n", + "Trainable params: 13,886\n", + "Non-trainable params: 324\n", "_________________________________________________________________\n" ] } @@ -452,7 +460,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 6, "metadata": {}, "outputs": [ { @@ -494,14 +502,26 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "Number of training steps per epoch is 64\n" + "Number of training steps per epoch is 64\n", + "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", + "Instructions for updating:\n", + "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", + "Instructions for updating:\n", + "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" ] } ], @@ -547,7 +567,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 8, "metadata": {}, "outputs": [ { @@ -555,80 +575,72 @@ "output_type": "stream", "text": [ "Epoch 1/30\n", - "65/65 [==============================] - 5s 38ms/step - loss: 1.7091 - accuracy: 0.4598 - val_loss: 2.1194 - val_accuracy: 0.3228 - lr: 0.0030\n", + "65/65 [==============================] - 115s 2s/step - loss: 1.5923 - accuracy: 0.5069 - val_loss: 2.1151 - val_accuracy: 0.2385 - lr: 0.0030\n", "Epoch 2/30\n", - "65/65 [==============================] - 2s 34ms/step - loss: 0.7770 - accuracy: 0.7942 - val_loss: 1.9621 - val_accuracy: 0.3583 - lr: 0.0030\n", + "65/65 [==============================] - 103s 2s/step - loss: 0.7379 - accuracy: 0.8063 - val_loss: 1.5453 - val_accuracy: 0.4899 - lr: 0.0030\n", "Epoch 3/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.6218 - accuracy: 0.8424 - val_loss: 1.4053 - val_accuracy: 0.5617 - lr: 0.0030\n", + "65/65 [==============================] - 98s 1s/step - loss: 0.5898 - accuracy: 0.8523 - val_loss: 1.4135 - val_accuracy: 0.5612 - lr: 0.0030\n", "Epoch 4/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.5545 - accuracy: 0.8617 - val_loss: 1.0818 - val_accuracy: 0.6850 - lr: 0.0030\n", + "65/65 [==============================] - 87s 1s/step - loss: 0.5289 - accuracy: 0.8695 - val_loss: 1.0892 - val_accuracy: 0.6650 - lr: 0.0030\n", "Epoch 5/30\n", - "65/65 [==============================] - 2s 33ms/step - loss: 0.5144 - accuracy: 0.8727 - val_loss: 0.8633 - val_accuracy: 0.7427 - lr: 0.0030\n", + "65/65 [==============================] - 86s 1s/step - loss: 0.4892 - accuracy: 0.8800 - val_loss: 1.3427 - val_accuracy: 0.6151 - lr: 0.0030\n", "Epoch 6/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4850 - accuracy: 0.8790 - val_loss: 0.7696 - val_accuracy: 0.7831 - lr: 0.0030\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.4627 - accuracy: 0.8852 - val_loss: 0.7451 - val_accuracy: 0.7898 - lr: 0.0030\n", "Epoch 7/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4607 - accuracy: 0.8859 - val_loss: 0.6046 - val_accuracy: 0.8387 - lr: 0.0030\n", + "65/65 [==============================] - 81s 1s/step - loss: 0.4412 - accuracy: 0.8912 - val_loss: 0.6801 - val_accuracy: 0.8109 - lr: 0.0030\n", "Epoch 8/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4393 - accuracy: 0.8914 - val_loss: 0.5633 - val_accuracy: 0.8520 - lr: 0.0030\n", + "65/65 [==============================] - 102s 2s/step - loss: 0.4247 - accuracy: 0.8942 - val_loss: 0.5264 - val_accuracy: 0.8649 - lr: 0.0030\n", "Epoch 9/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4223 - accuracy: 0.8955 - val_loss: 0.5241 - val_accuracy: 0.8635 - lr: 0.0030\n", + "65/65 [==============================] - 99s 2s/step - loss: 0.4023 - accuracy: 0.9024 - val_loss: 0.5170 - val_accuracy: 0.8653 - lr: 0.0030\n", "Epoch 10/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4106 - accuracy: 0.8990 - val_loss: 0.5085 - val_accuracy: 0.8684 - lr: 0.0030\n", + "65/65 [==============================] - 94s 1s/step - loss: 0.3918 - accuracy: 0.9042 - val_loss: 0.5094 - val_accuracy: 0.8680 - lr: 0.0030\n", "Epoch 11/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.4052 - accuracy: 0.8994 - val_loss: 0.5088 - val_accuracy: 0.8684 - lr: 0.0030\n", + "65/65 [==============================] - 92s 1s/step - loss: 0.3845 - accuracy: 0.9060 - val_loss: 0.4836 - val_accuracy: 0.8752 - lr: 0.0030\n", "Epoch 12/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3989 - accuracy: 0.9024 - val_loss: 0.4777 - val_accuracy: 0.8776 - lr: 0.0030\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.3778 - accuracy: 0.9071 - val_loss: 0.4742 - val_accuracy: 0.8797 - lr: 0.0030\n", "Epoch 13/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3907 - accuracy: 0.9031 - val_loss: 0.4788 - val_accuracy: 0.8787 - lr: 0.0030\n", + "65/65 [==============================] - 91s 1s/step - loss: 0.3738 - accuracy: 0.9076 - val_loss: 0.4738 - val_accuracy: 0.8762 - lr: 0.0030\n", "Epoch 14/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3866 - accuracy: 0.9050 - val_loss: 0.4852 - val_accuracy: 0.8750 - lr: 0.0030\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.3707 - accuracy: 0.9092 - val_loss: 0.4946 - val_accuracy: 0.8699 - lr: 0.0030\n", "Epoch 15/30\n", - "63/65 [============================>.] - ETA: 0s - loss: 0.3831 - accuracy: 0.9046\n", - "Epoch 15: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3827 - accuracy: 0.9044 - val_loss: 0.4863 - val_accuracy: 0.8709 - lr: 0.0030\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.3638 - accuracy: 0.9096 - val_loss: 0.5020 - val_accuracy: 0.8698 - lr: 0.0030\n", "Epoch 16/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3639 - accuracy: 0.9108 - val_loss: 0.4423 - val_accuracy: 0.8864 - lr: 0.0015\n", + "65/65 [==============================] - ETA: 0s - loss: 0.3594 - accuracy: 0.9114\n", + "Epoch 16: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", + "65/65 [==============================] - 92s 1s/step - loss: 0.3594 - accuracy: 0.9114 - val_loss: 0.4799 - val_accuracy: 0.8755 - lr: 0.0030\n", "Epoch 17/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3566 - accuracy: 0.9126 - val_loss: 0.4498 - val_accuracy: 0.8844 - lr: 0.0015\n", + "65/65 [==============================] - 90s 1s/step - loss: 0.3415 - accuracy: 0.9167 - val_loss: 0.4661 - val_accuracy: 0.8796 - lr: 0.0015\n", "Epoch 18/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3538 - accuracy: 0.9135 - val_loss: 0.4525 - val_accuracy: 0.8836 - lr: 0.0015\n", + "65/65 [==============================] - 94s 1s/step - loss: 0.3366 - accuracy: 0.9182 - val_loss: 0.4704 - val_accuracy: 0.8776 - lr: 0.0015\n", "Epoch 19/30\n", - "63/65 [============================>.] - ETA: 0s - loss: 0.3519 - accuracy: 0.9134\n", - "Epoch 19: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3516 - accuracy: 0.9135 - val_loss: 0.4426 - val_accuracy: 0.8866 - lr: 0.0015\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.3336 - accuracy: 0.9196 - val_loss: 0.4632 - val_accuracy: 0.8781 - lr: 0.0015\n", "Epoch 20/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3404 - accuracy: 0.9172 - val_loss: 0.4440 - val_accuracy: 0.8877 - lr: 7.5000e-04\n", + "65/65 [==============================] - 89s 1s/step - loss: 0.3319 - accuracy: 0.9196 - val_loss: 0.4818 - val_accuracy: 0.8713 - lr: 0.0015\n", "Epoch 21/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3384 - accuracy: 0.9178 - val_loss: 0.4457 - val_accuracy: 0.8856 - lr: 7.5000e-04\n", + "65/65 [==============================] - 88s 1s/step - loss: 0.3296 - accuracy: 0.9198 - val_loss: 0.4607 - val_accuracy: 0.8823 - lr: 0.0015\n", "Epoch 22/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3362 - accuracy: 0.9182 - val_loss: 0.4410 - val_accuracy: 0.8885 - lr: 7.5000e-04\n", + "65/65 [==============================] - 87s 1s/step - loss: 0.3272 - accuracy: 0.9211 - val_loss: 0.4535 - val_accuracy: 0.8827 - lr: 0.0015\n", "Epoch 23/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3351 - accuracy: 0.9183 - val_loss: 0.4450 - val_accuracy: 0.8848 - lr: 7.5000e-04\n", + "65/65 [==============================] - 87s 1s/step - loss: 0.3240 - accuracy: 0.9209 - val_loss: 0.4593 - val_accuracy: 0.8818 - lr: 0.0015\n", "Epoch 24/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3331 - accuracy: 0.9190 - val_loss: 0.4477 - val_accuracy: 0.8868 - lr: 7.5000e-04\n", + "65/65 [==============================] - 88s 1s/step - loss: 0.3230 - accuracy: 0.9212 - val_loss: 0.4537 - val_accuracy: 0.8814 - lr: 0.0015\n", "Epoch 25/30\n", - "64/65 [============================>.] - ETA: 0s - loss: 0.3306 - accuracy: 0.9196\n", - "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3307 - accuracy: 0.9196 - val_loss: 0.4443 - val_accuracy: 0.8882 - lr: 7.5000e-04\n", + "65/65 [==============================] - ETA: 0s - loss: 0.3223 - accuracy: 0.9213\n", + "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", + "65/65 [==============================] - 88s 1s/step - loss: 0.3223 - accuracy: 0.9213 - val_loss: 0.4559 - val_accuracy: 0.8804 - lr: 0.0015\n", "Epoch 26/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3261 - accuracy: 0.9212 - val_loss: 0.4412 - val_accuracy: 0.8888 - lr: 3.7500e-04\n", + "65/65 [==============================] - 90s 1s/step - loss: 0.3122 - accuracy: 0.9249 - val_loss: 0.4336 - val_accuracy: 0.8866 - lr: 7.5000e-04\n", "Epoch 27/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3245 - accuracy: 0.9209 - val_loss: 0.4415 - val_accuracy: 0.8860 - lr: 3.7500e-04\n", + "65/65 [==============================] - 86s 1s/step - loss: 0.3082 - accuracy: 0.9267 - val_loss: 0.4329 - val_accuracy: 0.8900 - lr: 7.5000e-04\n", "Epoch 28/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3228 - accuracy: 0.9218 - val_loss: 0.4407 - val_accuracy: 0.8881 - lr: 3.7500e-04\n", + "65/65 [==============================] - 88s 1s/step - loss: 0.3071 - accuracy: 0.9262 - val_loss: 0.4338 - val_accuracy: 0.8879 - lr: 7.5000e-04\n", "Epoch 29/30\n", - "65/65 [==============================] - 2s 31ms/step - loss: 0.3218 - accuracy: 0.9220 - val_loss: 0.4419 - val_accuracy: 0.8883 - lr: 3.7500e-04\n", + "65/65 [==============================] - 87s 1s/step - loss: 0.3060 - accuracy: 0.9260 - val_loss: 0.4347 - val_accuracy: 0.8874 - lr: 7.5000e-04\n", "Epoch 30/30\n", - "65/65 [==============================] - 2s 32ms/step - loss: 0.3221 - accuracy: 0.9219 - val_loss: 0.4421 - val_accuracy: 0.8878 - lr: 3.7500e-04\n", - "It took 1.1358303387959798 minutes to train Keras model\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n" + "65/65 [==============================] - ETA: 0s - loss: 0.3046 - accuracy: 0.9264\n", + "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", + "65/65 [==============================] - 85s 1s/step - loss: 0.3046 - accuracy: 0.9264 - val_loss: 0.4408 - val_accuracy: 0.8847 - lr: 7.5000e-04\n", + "It took 45.57152777512868 minutes to train Keras model\n" ] } ], @@ -693,7 +705,7 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 9, "metadata": {}, "outputs": [ { @@ -711,22 +723,22 @@ "=================================================================\n", " input_2 (InputLayer) [(None, 32, 32, 3)] 0 \n", " \n", - " fused_convbn_0 (QConv2DBat (None, 30, 30, 16) 513 \n", - " chnorm) \n", + " fused_convbn_0 (QConv2DBatc (None, 30, 30, 16) 513 \n", + " hnorm) \n", " \n", " conv_act_0 (QActivation) (None, 30, 30, 16) 0 \n", " \n", " pool_0 (MaxPooling2D) (None, 15, 15, 16) 0 \n", " \n", - " fused_convbn_1 (QConv2DBat (None, 13, 13, 16) 2385 \n", - " chnorm) \n", + " fused_convbn_1 (QConv2DBatc (None, 13, 13, 16) 2385 \n", + " hnorm) \n", " \n", " conv_act_1 (QActivation) (None, 13, 13, 16) 0 \n", " \n", " pool_1 (MaxPooling2D) (None, 6, 6, 16) 0 \n", " \n", - " fused_convbn_2 (QConv2DBat (None, 4, 4, 24) 3577 \n", - " chnorm) \n", + " fused_convbn_2 (QConv2DBatc (None, 4, 4, 24) 3577 \n", + " hnorm) \n", " \n", " conv_act_2 (QActivation) (None, 4, 4, 24) 0 \n", " \n", @@ -736,27 +748,26 @@ " \n", " dense_0 (QDense) (None, 42) 4032 \n", " \n", - " bn_dense_0 (BatchNormaliza (None, 42) 168 \n", - " tion) \n", + " bn_dense_0 (BatchNormalizat (None, 42) 168 \n", + " ion) \n", " \n", " dense_act_0 (QActivation) (None, 42) 0 \n", " \n", " dense_1 (QDense) (None, 64) 2688 \n", " \n", - " bn_dense_1 (BatchNormaliza (None, 64) 256 \n", - " tion) \n", + " bn_dense_1 (BatchNormalizat (None, 64) 256 \n", + " ion) \n", " \n", " dense_act_1 (QActivation) (None, 64) 0 \n", " \n", " output_dense (Dense) (None, 10) 650 \n", " \n", - " output_softmax (Activation (None, 10) 0 \n", - " ) \n", + " output_softmax (Activation) (None, 10) 0 \n", " \n", "=================================================================\n", - "Total params: 14269 (55.75 KB)\n", - "Trainable params: 13942 (54.46 KB)\n", - "Non-trainable params: 327 (1.29 KB)\n", + "Total params: 14,269\n", + "Trainable params: 13,942\n", + "Non-trainable params: 327\n", "_________________________________________________________________\n" ] } @@ -805,7 +816,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 10, "metadata": {}, "outputs": [ { @@ -850,7 +861,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 11, "metadata": {}, "outputs": [], "source": [ @@ -859,7 +870,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 12, "metadata": {}, "outputs": [ { @@ -867,85 +878,77 @@ "output_type": "stream", "text": [ "Epoch 1/30\n", - "65/65 [==============================] - 11s 108ms/step - loss: 2.1164 - accuracy: 0.2998 - val_loss: 2.2910 - val_accuracy: 0.2453 - lr: 0.0030\n", + "65/65 [==============================] - 202s 3s/step - loss: 2.0991 - accuracy: 0.3078 - val_loss: 2.2270 - val_accuracy: 0.2472 - lr: 0.0030\n", "Epoch 2/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 1.3146 - accuracy: 0.6126 - val_loss: 2.4964 - val_accuracy: 0.2293 - lr: 0.0030\n", + "65/65 [==============================] - 186s 3s/step - loss: 1.3108 - accuracy: 0.6119 - val_loss: 2.4650 - val_accuracy: 0.2768 - lr: 0.0030\n", "Epoch 3/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.8980 - accuracy: 0.7561 - val_loss: 2.0303 - val_accuracy: 0.3686 - lr: 0.0030\n", + "65/65 [==============================] - 188s 3s/step - loss: 0.8862 - accuracy: 0.7617 - val_loss: 1.9167 - val_accuracy: 0.4382 - lr: 0.0030\n", "Epoch 4/30\n", - "65/65 [==============================] - 6s 98ms/step - loss: 0.7446 - accuracy: 0.8041 - val_loss: 1.8031 - val_accuracy: 0.4176 - lr: 0.0030\n", + "65/65 [==============================] - 185s 3s/step - loss: 0.7235 - accuracy: 0.8106 - val_loss: 1.2889 - val_accuracy: 0.6002 - lr: 0.0030\n", "Epoch 5/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.7407 - accuracy: 0.8008 - val_loss: 1.5996 - val_accuracy: 0.4782 - lr: 0.0030\n", + "65/65 [==============================] - 187s 3s/step - loss: 0.6886 - accuracy: 0.8190 - val_loss: 1.1894 - val_accuracy: 0.6466 - lr: 0.0030\n", "Epoch 6/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.6485 - accuracy: 0.8288 - val_loss: 1.3250 - val_accuracy: 0.5897 - lr: 0.0030\n", + "65/65 [==============================] - 184s 3s/step - loss: 0.6561 - accuracy: 0.8268 - val_loss: 1.0449 - val_accuracy: 0.6946 - lr: 0.0030\n", "Epoch 7/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.6032 - accuracy: 0.8411 - val_loss: 1.0705 - val_accuracy: 0.6684 - lr: 0.0030\n", + "65/65 [==============================] - 177s 3s/step - loss: 0.6059 - accuracy: 0.8427 - val_loss: 1.0904 - val_accuracy: 0.6821 - lr: 0.0030\n", "Epoch 8/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.5618 - accuracy: 0.8532 - val_loss: 0.7802 - val_accuracy: 0.7781 - lr: 0.0030\n", + "65/65 [==============================] - 183s 3s/step - loss: 0.5734 - accuracy: 0.8490 - val_loss: 0.8816 - val_accuracy: 0.7468 - lr: 0.0030\n", "Epoch 9/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.5479 - accuracy: 0.8551 - val_loss: 0.7885 - val_accuracy: 0.7718 - lr: 0.0030\n", + "65/65 [==============================] - 191s 3s/step - loss: 0.5584 - accuracy: 0.8542 - val_loss: 0.7656 - val_accuracy: 0.7838 - lr: 0.0030\n", "Epoch 10/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5840 - accuracy: 0.8425 - val_loss: 0.9048 - val_accuracy: 0.7310 - lr: 0.0030\n", + "65/65 [==============================] - 186s 3s/step - loss: 0.5286 - accuracy: 0.8628 - val_loss: 0.7574 - val_accuracy: 0.7830 - lr: 0.0030\n", "Epoch 11/30\n", - "64/65 [============================>.] - ETA: 0s - loss: 0.5866 - accuracy: 0.8401\n", - "Epoch 11: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5867 - accuracy: 0.8401 - val_loss: 0.8958 - val_accuracy: 0.7348 - lr: 0.0030\n", + "65/65 [==============================] - 198s 3s/step - loss: 0.5069 - accuracy: 0.8687 - val_loss: 0.7822 - val_accuracy: 0.7805 - lr: 0.0030\n", "Epoch 12/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5475 - accuracy: 0.8530 - val_loss: 0.7049 - val_accuracy: 0.8047 - lr: 0.0015\n", + "65/65 [==============================] - 317s 5s/step - loss: 0.4971 - accuracy: 0.8710 - val_loss: 0.7574 - val_accuracy: 0.7875 - lr: 0.0030\n", "Epoch 13/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5350 - accuracy: 0.8566 - val_loss: 0.6297 - val_accuracy: 0.8277 - lr: 0.0015\n", + "65/65 [==============================] - ETA: 0s - loss: 0.4968 - accuracy: 0.8708\n", + "Epoch 13: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", + "65/65 [==============================] - 319s 5s/step - loss: 0.4968 - accuracy: 0.8708 - val_loss: 0.7925 - val_accuracy: 0.7619 - lr: 0.0030\n", "Epoch 14/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5187 - accuracy: 0.8615 - val_loss: 0.6393 - val_accuracy: 0.8231 - lr: 0.0015\n", + "65/65 [==============================] - 316s 5s/step - loss: 0.4702 - accuracy: 0.8784 - val_loss: 0.5873 - val_accuracy: 0.8419 - lr: 0.0015\n", "Epoch 15/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.5049 - accuracy: 0.8664 - val_loss: 0.5916 - val_accuracy: 0.8385 - lr: 0.0015\n", + "65/65 [==============================] - 316s 5s/step - loss: 0.4596 - accuracy: 0.8821 - val_loss: 0.5868 - val_accuracy: 0.8406 - lr: 0.0015\n", "Epoch 16/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.5057 - accuracy: 0.8648 - val_loss: 0.5799 - val_accuracy: 0.8432 - lr: 0.0015\n", + "65/65 [==============================] - 321s 5s/step - loss: 0.4524 - accuracy: 0.8842 - val_loss: 0.5289 - val_accuracy: 0.8567 - lr: 0.0015\n", "Epoch 17/30\n", - "65/65 [==============================] - 7s 99ms/step - loss: 0.4973 - accuracy: 0.8663 - val_loss: 0.5955 - val_accuracy: 0.8373 - lr: 0.0015\n", + "65/65 [==============================] - 314s 5s/step - loss: 0.4449 - accuracy: 0.8861 - val_loss: 0.5417 - val_accuracy: 0.8553 - lr: 0.0015\n", "Epoch 18/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4882 - accuracy: 0.8700 - val_loss: 0.5706 - val_accuracy: 0.8474 - lr: 0.0015\n", + "65/65 [==============================] - 315s 5s/step - loss: 0.4406 - accuracy: 0.8870 - val_loss: 0.5335 - val_accuracy: 0.8598 - lr: 0.0015\n", "Epoch 19/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4792 - accuracy: 0.8724 - val_loss: 0.5528 - val_accuracy: 0.8565 - lr: 0.0015\n", + "65/65 [==============================] - ETA: 0s - loss: 0.4364 - accuracy: 0.8886\n", + "Epoch 19: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", + "65/65 [==============================] - 326s 5s/step - loss: 0.4364 - accuracy: 0.8886 - val_loss: 0.5625 - val_accuracy: 0.8447 - lr: 0.0015\n", "Epoch 20/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4807 - accuracy: 0.8717 - val_loss: 0.6011 - val_accuracy: 0.8367 - lr: 0.0015\n", + "65/65 [==============================] - 324s 5s/step - loss: 0.4254 - accuracy: 0.8913 - val_loss: 0.5189 - val_accuracy: 0.8639 - lr: 7.5000e-04\n", "Epoch 21/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4774 - accuracy: 0.8720 - val_loss: 0.5386 - val_accuracy: 0.8545 - lr: 0.0015\n", + "65/65 [==============================] - 308s 5s/step - loss: 0.4226 - accuracy: 0.8925 - val_loss: 0.5113 - val_accuracy: 0.8673 - lr: 7.5000e-04\n", "Epoch 22/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4732 - accuracy: 0.8734 - val_loss: 0.6162 - val_accuracy: 0.8283 - lr: 0.0015\n", + "65/65 [==============================] - 320s 5s/step - loss: 0.4192 - accuracy: 0.8936 - val_loss: 0.5108 - val_accuracy: 0.8662 - lr: 7.5000e-04\n", "Epoch 23/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4930 - accuracy: 0.8676 - val_loss: 0.6711 - val_accuracy: 0.8175 - lr: 0.0015\n", + "65/65 [==============================] - 320s 5s/step - loss: 0.4179 - accuracy: 0.8938 - val_loss: 0.5213 - val_accuracy: 0.8634 - lr: 7.5000e-04\n", "Epoch 24/30\n", - "64/65 [============================>.] - ETA: 0s - loss: 0.4738 - accuracy: 0.8733\n", - "Epoch 24: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4738 - accuracy: 0.8733 - val_loss: 0.5831 - val_accuracy: 0.8382 - lr: 0.0015\n", + "65/65 [==============================] - 329s 5s/step - loss: 0.4163 - accuracy: 0.8934 - val_loss: 0.5135 - val_accuracy: 0.8666 - lr: 7.5000e-04\n", "Epoch 25/30\n", - "65/65 [==============================] - 7s 100ms/step - loss: 0.4615 - accuracy: 0.8769 - val_loss: 0.5441 - val_accuracy: 0.8559 - lr: 7.5000e-04\n", + "65/65 [==============================] - ETA: 0s - loss: 0.4134 - accuracy: 0.8952\n", + "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", + "65/65 [==============================] - 330s 5s/step - loss: 0.4134 - accuracy: 0.8952 - val_loss: 0.5191 - val_accuracy: 0.8635 - lr: 7.5000e-04\n", "Epoch 26/30\n", - "65/65 [==============================] - 7s 101ms/step - loss: 0.4487 - accuracy: 0.8816 - val_loss: 0.5294 - val_accuracy: 0.8561 - lr: 7.5000e-04\n", + "65/65 [==============================] - 331s 5s/step - loss: 0.4063 - accuracy: 0.8975 - val_loss: 0.5183 - val_accuracy: 0.8602 - lr: 3.7500e-04\n", "Epoch 27/30\n", - "65/65 [==============================] - 7s 102ms/step - loss: 0.4468 - accuracy: 0.8826 - val_loss: 0.5266 - val_accuracy: 0.8595 - lr: 7.5000e-04\n", + "65/65 [==============================] - 336s 5s/step - loss: 0.4052 - accuracy: 0.8980 - val_loss: 0.5046 - val_accuracy: 0.8668 - lr: 3.7500e-04\n", "Epoch 28/30\n", - "65/65 [==============================] - 7s 101ms/step - loss: 0.4492 - accuracy: 0.8807 - val_loss: 0.5343 - val_accuracy: 0.8550 - lr: 7.5000e-04\n", + "65/65 [==============================] - 333s 5s/step - loss: 0.4053 - accuracy: 0.8976 - val_loss: 0.5144 - val_accuracy: 0.8621 - lr: 3.7500e-04\n", "Epoch 29/30\n", - "65/65 [==============================] - 7s 102ms/step - loss: 0.4437 - accuracy: 0.8819 - val_loss: 0.5948 - val_accuracy: 0.8389 - lr: 7.5000e-04\n", + "65/65 [==============================] - 321s 5s/step - loss: 0.4042 - accuracy: 0.8988 - val_loss: 0.5069 - val_accuracy: 0.8711 - lr: 3.7500e-04\n", "Epoch 30/30\n", - "64/65 [============================>.] - ETA: 0s - loss: 0.4444 - accuracy: 0.8823\n", - "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", - "65/65 [==============================] - 7s 101ms/step - loss: 0.4445 - accuracy: 0.8822 - val_loss: 0.5604 - val_accuracy: 0.8452 - lr: 7.5000e-04\n", + "65/65 [==============================] - ETA: 0s - loss: 0.4025 - accuracy: 0.8986\n", + "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.0001875000016298145.\n", + "65/65 [==============================] - 316s 5s/step - loss: 0.4025 - accuracy: 0.8986 - val_loss: 0.5057 - val_accuracy: 0.8690 - lr: 3.7500e-04\n", "\n", - " It took 3.362037507692973 minutes to train!\n", + " It took 136.529503262043 minutes to train!\n", "\n" ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n", - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", - " warnings.warn(\n" - ] } ], "source": [ @@ -997,18 +1000,18 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "814/814 [==============================] - 2s 2ms/step\n", - "814/814 [==============================] - 2s 2ms/step - loss: 0.4826 - accuracy: 0.8762\n", - "814/814 [==============================] - 4s 4ms/step\n", - "814/814 [==============================] - 3s 4ms/step - loss: 0.5944 - accuracy: 0.8364\n", - "Keras accuracy = 0.8761908411979675 , QKeras 6-bit accuracy = 0.8363936543464661\n" + "814/814 [==============================] - 8s 10ms/step\n", + "814/814 [==============================] - 8s 9ms/step - loss: 0.4567 - accuracy: 0.8837\n", + "814/814 [==============================] - 24s 29ms/step\n", + "814/814 [==============================] - 22s 27ms/step - loss: 0.5333 - accuracy: 0.8586\n", + "Keras accuracy = 0.8837200403213501 , QKeras 6-bit accuracy = 0.858558714389801\n" ] } ], @@ -1024,7 +1027,7 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 14, "metadata": {}, "outputs": [ { @@ -1037,16 +1040,16 @@ { "data": { "text/plain": [ - "" + "" ] }, - "execution_count": 15, + "execution_count": 14, "metadata": {}, "output_type": "execute_result" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
    " ] @@ -1135,7 +1138,7 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 15, "metadata": {}, "outputs": [ { @@ -1165,7 +1168,7 @@ }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
    " ] @@ -1175,7 +1178,7 @@ }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1sAAANBCAYAAAAWcaT4AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAAC8BklEQVR4nOzdeXxN1/7/8ddBIqMQ0QyaSFqawTepqKGpqlAVtO5tDR1oUTEFNZOWIoiUGmK6hhpzCf32dkhLlapK2rrEmDTaSAh6VIMqQYgg/P7wc75OTSfkNA3v5+NxHg9n77XX+qy9d+49n6611zZcuXLlCiIiIiIiIlKiypV2ACIiIiIiIvcjJVsiIiIiIiJWoGRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFVQo7QDKgsuXL/Pbb7/h7OyMwWAo7XBERERERKSUXLlyhTNnzuDl5UW5crcfu1KyZYHffvsNb2/v0g5DRERERET+Jg4dOsTDDz982zJKtizg7OwMXD2hlSpVKuVoRERERESktJw+fRpvb29TjnA7SrYscG3qYKVKlZRsiYiIiIiIRY8XaYEMERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAqUbImIiIiIiFiBki0RERERERErqFDaAdzPjEYjx48f/8vac3Nzw8fH5y9rT0REREREbk3JlpUYjUYCAgIoKCj4y9q0t7dnz549Fidc4eHh1KlTh+nTp1s3sP/v4MGD+Pn5sWvXLurUqfOXtCkiIiIiUlqUbFnJ8ePHKSgo4KWXXqJatWpWb+/333/ns88+4/jx4xrdKkE//vgjffv2Zdu2bVSrVo233nqL4cOHl3ZYIiIiIlIGKNmysmrVquHp6VnaYchdOH36NC1atKB58+bMmzePjIwMunXrRuXKlenZs2dphyciIiIif3NaIOMBd+nSJfr164eLiwtubm6MGjWKK1euAODr60tcXBzdunXD2dkZHx8fPvjgA4vr3rp1K6GhodjZ2VGvXj127dp1Q5ndu3fTqlUrnJyccHd354033jB7zi08PJz+/fszfPhwXF1d8fDwICYmxrT/ypUrxMTE4OPjQ8WKFfHy8qJ///6m/YWFhQwdOpTq1avj6OhIw4YNSU5Otij+xMRELly4wOLFi6lduzavvvoq/fv3Z9q0aRafAxERERF5cCnZesAlJCRQoUIFtm7dyowZM5g2bRoLFy407Z86daopUerTpw9RUVFkZWXdsd78/HxeeOEFgoKC2LFjBzExMQwdOtSsTF5eHs2aNSM0NJTt27ezdu1ajh49yssvv3xDjI6OjqSmpvL+++8zbtw41q9fD8Ann3xCfHw88+fPZ+/evSQlJREcHGw6tl+/fmzevJkPP/yQH3/8kQ4dOtCyZUv27t17xz5s3ryZZ555BltbW9O2iIgIsrKyOHny5B2PFxEREZEHm6YRPuC8vb2Jj4/HYDDg7+9PRkYG8fHx9OjRA4DWrVvTp08fAKKjo4mPj2fjxo34+/vftt4VK1Zw+fJlFi1ahJ2dHbVr1+bXX38lKirKVGb27NmEhoYSFxdn2rZ48WK8vb3Jzs7mscceAyAkJIQxY8YAUKtWLWbPns2GDRt47rnnMBqNeHh40Lx5c2xsbPDx8aFBgwbA1UVKlixZgtFoxMvLC4ChQ4eydu1alixZYtbuzRw5cgQ/Pz+zbe7u7qZ9VapUuf3JFREREZEHmka2HnBPPvkkBoPB9D0sLIy9e/dSVFQEXE10rjEYDHh4eHDs2LE71puZmUlISAh2dnZmdV8vPT2djRs34uTkZPoEBAQAkJOTYyp3fQwAnp6ephg6dOhAQUEBjzzyCD169OCzzz7j0qVLAGRkZFBUVMRjjz1m1kZKSopZ/SIiIiIi1qCRLbktGxsbs+8Gg4HLly+XSN35+fm0adOGSZMm3bDv+kVFbheDt7c3WVlZfPPNN6xfv54+ffowefJkUlJSyM/Pp3z58uzYsYPy5cub1eHk5HTH+Dw8PDh69KjZtmvfPTw8LOukiIiIiDywlGw94FJTU82+b9myhVq1at2QnBRXYGAgy5Yt4/z586bRrS1btpiVqVu3Lp988gm+vr5UqHD3t6K9vT1t2rShTZs29O3bl4CAADIyMggNDaWoqIhjx47RuHHjYtcbFhbGyJEjuXjxoinhW79+Pf7+/ppCKCIiIiJ3pGTLyn7//fe/dTtGo5HBgwfTq1cvdu7cyaxZs5g6deo9x9OxY0dGjhxJjx49eOeddzh48CBTpkwxK9O3b18WLFjAa6+9ZlptcN++fXz44YcsXLjQooRv6dKlFBUV0bBhQxwcHFi+fDn29vbUqFGDqlWr0qlTJzp37szUqVMJDQ3l999/Z8OGDYSEhPD888/fsQ9jx44lMjKS6Ohodu/ezYwZM4iPj7+ncyMiIiIiDwYlW1bi5uaGvb09n3322V/Wpr29PW5ubsU6pnPnzhQUFNCgQQPKly/PgAEDSuQdUk5OTqxatYrevXsTGhpKUFAQkyZNol27dqYyXl5ebNq0iejoaFq0aEFhYSE1atSgZcuWlCtn2eOElStXZuLEiQwePJiioiKCg4NZtWoVVatWBWDJkiXExsYyZMgQDh8+jJubG08++SQvvPDCHet2cXHh66+/pm/fvjzxxBO4ubkxevRovWNLRERERCxiuHLtpUpyS6dPn8bFxYVTp05RqVIli48zGo1m74yyNjc3N3x8fP6y9kREREREHjTFyQ00smVFPj4+Sn5ERERERB5QWvpd7kpcXJzZcurXf1q1alXa4VmkVatWt+zDnd7BJSIiIiJyJ5pGaIG7nUZ4Pztx4gQnTpy46T57e3uqV6/+F0dUfIcPH6agoOCm+1xdXXF1df2LIxIRERGRvztNIxSrux+SkbKQEIqIiIhI2aVphCIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVajdCKjEYjx48f/8vac3NzK9ZLlMPDw6lTpw7Tp0+3XlDXOXjwIH5+fuzatYs6der8JW2KiIiIiJQWJVtWYjQaCQwI5FzBub+sTQd7BzL3ZBYr4ZJbO3/+PL1792bHjh1kZmbywgsvkJSUVNphichfIDY2li1bthT7uCeffJJ3333XChGJiEhZVKrJVlFRETExMSxfvpwjR47g5eVF165deffddzEYDABcuXKFMWPGsGDBAvLy8mjUqBFz586lVq1apnpOnDjBW2+9xapVqyhXrhzt2rVjxowZODk5mcr8+OOP9O3bl23btlGtWjXeeusthg8fbrW+HT9+nHMF55j5wrvUrFrDau1cs++PX+i/Opbjx48r2SohRUVF2Nvb079/fz755JPSDkdE/iKxsbGMHjWKK3dx7JovvwRQwiUiIkApJ1uTJk1i7ty5JCQkULt2bbZv386bb76Ji4sL/fv3B+D9999n5syZJCQk4Ofnx6hRo4iIiODnn3/Gzs4OgE6dOpGbm8v69eu5ePEib775Jj179mTFihXA1bc8t2jRgubNmzNv3jwyMjLo1q0blStXpmfPnlbtY82qNQj28LdqG/fi0qVL9OvXj2XLlmFjY0NUVBTjxo3DYDDg6+tLz5492bdvH//5z3+oUqUK7777rsXnbOvWrfTq1YvMzEz+53/+h5EjR95QZvfu3QwbNozvv/8eR0dHWrRoQXx8PG5ubsDVqY4hISHY2dmxcOFCbG1t6d27NzExMcDVZHzs2LEsXryYo0ePUrVqVdq3b8/MmTMBKCwsZOTIkaxcuZK8vDz+53/+h0mTJhEeHn7H+B0dHZk7dy4AmzZtIi8vz6J+i0jZtmXLFq4AoT5eVHV0sPi4P86eY5fxt7saERMRkftTqSZb//3vf/nnP//J888/D4Cvry8rV65k69atwNUf0tOnT+fdd9/ln//8JwD//ve/cXd3JykpiVdffZXMzEzWrl3Ltm3bqFevHgCzZs2idevWTJkyBS8vLxITE7lw4QKLFy/G1taW2rVrk5aWxrRp06yebP3dJSQkEBkZydatW9m+fTs9e/bEx8eHHj16ADB16lTGjx/PiBEj+Pjjj4mKiqJJkyb4+98+gczPz+eFF17gueeeY/ny5Rw4cIABAwaYlcnLy6NZs2Z0796d+Ph4CgoKiI6O5uWXX+bbb781i3Hw4MGkpqayefNmunbtSqNGjXjuuef45JNPiI+P58MPP6R27docOXKE9PR007H9+vXj559/5sMPP8TLy4vPPvuMli1bkpGRYTY6KiJyzR9//IHBUI5dxt+KfazBUI4//vjDClGJiEhZVKrJ1lNPPcUHH3xAdnY2jz32GOnp6fzwww9MmzYNgAMHDnDkyBGaN29uOsbFxYWGDRuyefNmXn31VTZv3kzlypVNiRZA8+bNKVeuHKmpqbz00kts3ryZZ555BltbW1OZiIgIJk2axMmTJ6lSpYpZXIWFhRQWFpq+nz592lqnoNR5e3sTHx+PwWDA39+fjIwM4uPjTclW69at6dOnDwDR0dHEx8ezcePGOyZbK1as4PLlyyxatAg7Oztq167Nr7/+SlRUlKnM7NmzCQ0NJS4uzrRt8eLFeHt7m+4JgJCQEMaMGQNArVq1mD17Nhs2bOC5557DaDTi4eFB8+bNsbGxwcfHhwYNGgBXn5tbsmQJRqMRLy8vAIYOHcratWtZsmSJWbsiItecPXuWK1cu06XZO3hUtnxa9pE8IwnfvsfZs2etGJ2IiJQlpZpsvf3225w+fZqAgADKly9PUVEREyZMoFOnTgAcOXIEAHd3d7Pj3N3dTfuOHDnCQw89ZLa/QoUKuLq6mpXx8/O7oY5r+/6cbL333nuMHTu2hHr59/bkk0+ano8DCAsLY+rUqRQVFQFXE51rDAYDHh4eHDt27I71ZmZmmqb/XV/39dLT09m4caPZs3XX5OTkmCVb1/P09DTF0KFDB6ZPn84jjzxCy5Ytad26NW3atKFChQpkZGRQVFRkqueawsJCqlatesc+iMiDzaOyD97VHrtzQRERkVso1WTro48+IjExkRUrVpim9g0cOBAvLy+6dOlSanG98847DB482PT99OnTeHt7l1o8pcnGxsbsu8Fg4PLlyyVSd35+Pm3atGHSpEk37PP09LQoBm9vb7Kysvjmm29Yv349ffr0YfLkyaSkpJCfn0/58uXZsWMH5cuXN6vjZgmeiIiIiEhJKtVka9iwYbz99tu8+uqrAAQHB/PLL7/w3nvv0aVLFzw8PAA4evSo2Y/vo0ePmt7TdLORlkuXLnHixAnT8R4eHhw9etSszLXv18pcr2LFilSsWLFkOvk3l5qaavZ9y5Yt1KpV64bkpLgCAwNZtmwZ58+fN41u/fmh8bp16/LJJ5/g6+tLhQp3fyva29vTpk0b2rRpQ9++fQkICCAjI4PQ0FCKioo4duwYjRs3vqf+iIiIiIgUV6kmW+fOnaNcuXJm28qXL28atfDz88PDw4MNGzaYkqvTp0+TmppqevYnLCyMvLw8duzYwRNPPAHAt99+y+XLl2nYsKGpzMiRI7l48aJplGT9+vX4+/vfMIWwpO374xer1n+v7RiNRgYPHkyvXr3YuXMns2bNYurUqfccT8eOHRk5ciQ9evTgnXfe4eDBg0yZMsWsTN++fVmwYAGvvfYaw4cPx9XVlX379vHhhx+ycOFCixK+pUuXUlRURMOGDXFwcGD58uXY29tTo0YNqlatSqdOnejcuTNTp04lNDSU33//nQ0bNhASEmJamOV2fv75Zy5cuMCJEyc4c+YMaWlpAHops4iIiIjcUakmW23atGHChAn4+PhQu3Ztdu3axbRp0+jWrRtwdbrYwIEDiY2NpVatWqal3728vHjxxReBqyMoLVu2pEePHsybN4+LFy/Sr18/Xn31VdOiCB07dmTs2LFERkYSHR3N7t27mTFjBvHx8Vbrm5ubGw72DvRfHWu1Nv7Mwd7BtGS6pTp37kxBQQENGjSgfPnyDBgwoERWaHRycmLVqlX07t2b0NBQgoKCmDRpEu3atTOV8fLyYtOmTURHR9OiRQsKCwupUaMGLVu2vCEJv5XKlSszceJEBg8eTFFREcHBwaxatcr0TNaSJUuIjY1lyJAhHD58GDc3N5588kleeOEFi+pv3bo1v/zyf4lsaGgocHWlTBERERGR2zFcKcVfjWfOnGHUqFF89tlnHDt2DC8vL1577TVGjx5tWjnw2kuNP/jgA/Ly8nj66aeZM2eO2aIHJ06coF+/fmYvNZ45c+YtX2rs5ubGW2+9RXR0tEVxnj59GhcXF06dOkWlSpUs7p/RaOT48eMWl79Xbm5ueqGxiMg9CgkJISMjg+i2c4u1QMah37OZ9GkUwcHB/Pjjj1aMUERESlNxcoNSTbbKirtNtkREpOxRsiUiIrdTnNzAsrlaIn8SFxeHk5PTTT+tWrUq7fAs0qpVq1v2Qe/gEhEREZF7VarPbEnZ1bt3b15++eWb7rO3t/+Lo7k7CxcupKCg4Kb7XF1d/+JoREREROR+o2RL7oqrq2uZT0iqV69e2iGIiIiIyH1M0whFRERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKtBqhFRmNRo4fP/6Xtefm5oaPj89f1l5Z4evry8CBAxk4cGBphyIiIiIiDxAlW1ZiNBoJCPCnoOD8X9amvb0de/Zk/aUJV0xMDElJSaSlpZV43UuXLmXgwIHk5eWVeN0iIiIiItamZMtKjh8/TkHBeTo2rMNDlZys3t6x0/msSE3j+PHjGt0SEREREfkb0DNbVvZQJSceruJi9c/dJnSFhYX079+fhx56CDs7O55++mm2bdsGXB1Zqly5sln5pKQkDAaDaf/YsWNJT0/HYDBgMBhYunQpAAaDgblz59KqVSvs7e155JFH+Pjjj031JCcnYzAYzEat0tLSMBgMHDx4kOTkZN58801OnTplqjsmJuaO/Tl27Bht2rTB3t4ePz8/EhMTbyiTl5dH9+7dqVatGpUqVaJZs2akp6eb9sfExFCnTh2WLVuGr68vLi4uvPrqq5w5c8ZU5uOPPyY4OBh7e3uqVq1K8+bNOXv2rGn/woULCQwMxM7OjoCAAObMmXPH2EVERETk/qJk6wE3fPhwPvnkExISEti5cyc1a9YkIiKCEydO3PHYV155hSFDhlC7dm1yc3PJzc3llVdeMe0fNWoU7dq1Iz09nU6dOvHqq6+SmZlpUVxPPfUU06dPp1KlSqa6hw4desfjunbtyqFDh9i4cSMff/wxc+bM4dixY2ZlOnTowLFjx/jqq6/YsWMHdevW5dlnnzXrc05ODklJSaxevZrVq1eTkpLCxIkTAcjNzeW1116jW7duZGZmkpycTNu2bbly5QoAiYmJjB49mgkTJpCZmUlcXByjRo0iISHBor6LiIiIyP1B0wgfYGfPnmXu3LksXbqUVq1aAbBgwQLWr1/PokWLqFat2m2Pt7e3x8nJiQoVKuDh4XHD/g4dOtC9e3cAxo8fz/r165k1a5ZFozy2tra4uLhgMBhuWvfNZGdn89VXX7F161bq168PwKJFiwgMDDSV+eGHH9i6dSvHjh2jYsWKAEyZMoWkpCQ+/vhjevbsCcDly5dZunQpzs7OALzxxhts2LCBCRMmkJuby6VLl2jbti01atQAIDg42NTGmDFjmDp1Km3btgXAz8+Pn3/+mfnz59OlSxeL+iIiIiIiZZ+SrQdYTk4OFy9epFGjRqZtNjY2NGjQgMzMzDsmW3cSFhZ2w3drLKRxTWZmJhUqVOCJJ54wbQsICDCbCpmenk5+fj5Vq1Y1O7agoICcnBzTd19fX1OiBeDp6WkaIXv88cd59tlnCQ4OJiIighYtWtC+fXuqVKnC2bNnycnJITIykh49epiOv3TpEi4uLiXdZRERERH5G1OyJbdUrlw509S4ay5evFhidQNm9ZdU3beTn5+Pp6cnycnJN+y7PimzsbEx22cwGLh8+TIA5cuXZ/369fz3v//l66+/ZtasWYwcOZLU1FQcHByAqyOEDRs2NKujfPnyJdsZEREREflb0zNbD7BHH30UW1tbNm3aZNp28eJFtm3bRlBQENWqVePMmTNmCz/8eWTK1taWoqKim9a/ZcuWG75fm9J3bdQsNzf3ruq+mYCAAC5dusSOHTtM27KysswW4ahbty5HjhyhQoUK1KxZ0+zj5uZmcVsGg4FGjRoxduxYdu3aha2tLZ999hnu7u54eXmxf//+G+r38/OzuH4RERERKfs0smVlx07n/23bcXR0JCoqimHDhuHq6oqPjw/vv/8+586dIzIykitXruDg4MCIESPo378/qampptUGr/H19eXAgQOkpaXx8MMP4+zsbHoW6j//+Q/16tXj6aefJjExka1bt7Jo0SIAatasibe3NzExMUyYMIHs7GymTp16Q935+fls2LCBxx9/HAcHB9PI0c34+/vTsmVLevXqxdy5c6lQoQIDBw7E3t7eVKZ58+aEhYXx4osv8v777/PYY4/x22+/8eWXX/LSSy9Rr169O5631NRUNmzYQIsWLXjooYdITU3l999/NyWSY8eOpX///ri4uNCyZUsKCwvZvn07J0+eZPDgwRZdGxEREREp+5RsWYmbmxv29nasSE37y9q0t7cr1ugMwMSJE7l8+TJvvPEGZ86coV69eqxbt44qVaoAsHz5coYNG8aCBQt49tlniYmJMS0iAdCuXTs+/fRTmjZtSl5eHkuWLKFr167A1aTjww8/pE+fPnh6erJy5UqCgoKAq9P0Vq5cSVRUFCEhIdSvX5/Y2Fg6dOhgqvupp56id+/evPLKK/zxxx+MGTPmjsu/L1myhO7du9OkSRPc3d2JjY1l1KhRpv0Gg4E1a9YwcuRI3nzzTX7//Xc8PDx45plncHd3t+icVapUie+++47p06dz+vRpatSowdSpU02LjHTv3h0HBwcmT57MsGHDcHR0JDg4mIEDB1pUv4iIiIjcHwxX/vxQjtzg9OnTuLi4cOrUKSpVqmTxcUajkePHj1sxMnNubm5/mxcaGwwGPvvsM1588cXSDkVEpFhCQkLIyMgguu1cvKs9ZvFxh37PZtKnUQQHB/Pjjz9aMUIRESlNxckNNLJlRT4+Pn+b5EdERERERP5aWiBDyozvv/8eJyenW35ERERERP5ONLIlVmGN2an16tWz6nu6RERERERKkpItKTPs7e2pWbNmaYchIiIiImIRTSMUERERERGxAiVbIiIiIiIiVqBkS0RERERExAqUbImIiIiIiFiBki0REREREREr0GqEVmQ0Gjl+/Phf1p6bm9sD8RLlgwcP4ufnx65du6hTp05ph/O30rVrV/Ly8khKSirxumNiYkhKSrLa8vu6riIiInK/UbJlJUajkYCAQAoKzv1lbdrbO7BnT+YDkXDJzc2YMcPsHWfh4eHUqVOH6dOnl15Q97Eff/yRvn37sm3bNqpVq8Zbb73F8OHDSzssERER+ZtQsmUlx48fp6DgHF2avYNHZesnP0fyjCR8+x7Hjx+3WrJ14cIFbG1trVK3lAwXF5fSDuGBcfr0aVq0aEHz5s2ZN28eGRkZdOvWjcqVK9OzZ8/SDk9ERET+BvTMlpV5VPbBu9pjVv/cTUIXHh5Ov3796NevHy4uLri5uTFq1CjTyIivry/jx4+nc+fOVKpUiZ49e5KcnIzBYCAvL89UT1paGgaDgYMHDwKwdOlSKleuzLp16wgMDMTJyYmWLVuSm5tr1v7ChQsJDAzEzs6OgIAA5syZc9fnOSUlhQYNGlCxYkU8PT15++23uXTpEgCrV6+mcuXKFBUVmcX79ttvm47v3r07r7/++h3buda31atX4+/vj4ODA+3bt+fcuXMkJCTg6+tLlSpV6N+/v6k9gGXLllGvXj2cnZ3x8PCgY8eOHDt2zKzuL774glq1amFnZ0fTpk1JSEgwO9eWnNeuXbvy4osvmv6dkpLCjBkzMBgMpmt0rZ7rJSUlYTAYzLZNnDgRd3d3nJ2diYyM5Pz58zecj3u5hlu3biU0NBQ7Ozvq1avHrl27biize/duWrVqhZOTE+7u7rzxxhtmU3PDw8Pp378/w4cPx9XVFQ8PD2JiYkz7r1y5QkxMDD4+PlSsWBEvLy/69+9v2l9YWMjQoUOpXr06jo6ONGzYkOTkZIviT0xM5MKFCyxevJjatWvz6quv0r9/f6ZNm2bxORAREZH7m5KtB1xCQgIVKlRg69atzJgxg2nTprFw4ULT/ilTpvD444+za9cuRo0aZXG9586dY8qUKSxbtozvvvsOo9HI0KFDTfsTExMZPXo0EyZMIDMzk7i4OEaNGkVCQkKx+3D48GFat25N/fr1SU9PZ+7cuSxatIjY2FgAGjduzJkzZ0w/5lNSUnBzczP7UZ2SkkJ4eLjFfZs5cyYffvgha9euJTk5mZdeeok1a9awZs0ali1bxvz58/n4449Nx1y8eJHx48eTnp5OUlISBw8epGvXrqb9Bw4coH379rz44oukp6fTq1cvRo4cedO2b3derzdjxgzCwsLo0aMHubm55Obm4u3tbVEfP/roI2JiYoiLi2P79u14enrekEjdyzXMz8/nhRdeICgoiB07dhATE3NDP/Ly8mjWrBmhoaFs376dtWvXcvToUV5++WWzcgkJCTg6OpKamsr777/PuHHjWL9+PQCffPIJ8fHxzJ8/n71795KUlERwcLDp2H79+rF582Y+/PBDfvzxRzp06EDLli3Zu3fvHfuwefNmnnnmGbPR3oiICLKysjh58uQdjxcREZH7n6YRPuC8vb2Jj4/HYDDg7+9PRkYG8fHx9OjRA4BmzZoxZMgQU/lDhw5ZVO/FixeZN28ejz76KHD1R+24ceNM+8eMGcPUqVNp27YtAH5+fvz888/Mnz+fLl26FKsPc+bMwdvbm9mzZ2MwGAgICOC3334jOjqa0aNH4+LiQp06dUhOTqZevXokJyczaNAgxo4dS35+PqdOnWLfvn00adLE4r7NnTvX1Lf27duzbNkyjh49ipOTE0FBQTRt2pSNGzfyyiuvANCtWzfT8Y888ggzZ86kfv365Ofn4+TkxPz58/H392fy5MkA+Pv7s3v3biZMmFCs83o9FxcXbG1tcXBwwMPDo1jndPr06URGRhIZGQlAbGws33zzjdno1r1cwxUrVnD58mUWLVqEnZ0dtWvX5tdffyUqKspUZvbs2YSGhhIXF2fatnjxYry9vcnOzuaxxx4DICQkhDFjxgBQq1YtZs+ezYYNG3juuecwGo14eHjQvHlzbGxs8PHxoUGDBsDV5yqXLFmC0WjEy8sLgKFDh7J27VqWLFli1u7NHDlyBD8/P7Nt7u7upn1VqlS57fEiIiJy/9PI1gPuySefNJs+FhYWxt69e01T4OrVq3dX9To4OJgSAgBPT0/TtLmzZ8+Sk5NDZGQkTk5Opk9sbCw5OTnFbiszM5OwsDCzfjRq1Ij8/Hx+/fVXAJo0aUJycjJXrlzh+++/p23btgQGBvLDDz+QkpKCl5cXtWrVuqu+ubu74+vri5OTk9m266cJ7tixgzZt2uDj44Ozs7MpsTMajQBkZWVRv359s3auJQW3a/v681qSMjMzadiwodm2sLAw07/v9RpmZmYSEhKCnZ3dTesHSE9PZ+PGjWb1BwQEAJi1ERISYnbc9eekQ4cOFBQU8Mgjj9CjRw8+++wz0/TSjIwMioqKeOyxx8zaSElJuav7UEREROTPNLIlt+Xo6Gj2vVy5q/n59SveXbx48YbjbGxszL4bDAbTMfn5+QAsWLDghh/05cuXv/egbyI8PJzFixeTnp6OjY0NAQEBhIeHk5yczMmTJy0e1YKb9+1m2y5fvgxcTUwiIiKIiIggMTGRatWqYTQaiYiI4MKFC8Xqx+3Oq6XKlSt3wzE3u4a381dcw/z8fNq0acOkSZNu2Ofp6Wn69+3Ovbe3N1lZWXzzzTesX7+ePn36MHnyZFJSUsjPz6d8+fLs2LHjhpivT5xvxcPDg6NHj5ptu/a9uCOJIiIicn9SsvWAS01NNfu+ZcsWatWqdcsfzNWqVQMgNzfXNE2quO9dcnd3x8vLi/3799OpU6fiB/0ngYGBfPLJJ1y5csU0urVp0yacnZ15+OGHgf97bis+Pt6UWIWHhzNx4kROnjxpNlWypO3Zs4c//viDiRMnmp6Z2r59u1kZf39/1qxZY7Zt27Zt99y2ra2t2UIdcPUanjlzhrNnz5qS6T9fw8DAQFJTU+ncubNp25YtW0z/vtdrGBgYyLJlyzh//rxpdOv6+gHq1q3LJ598gq+vLxUq3P3/VNnb29OmTRvatGlD3759CQgIICMjg9DQUIqKijh27BiNGzcudr1hYWGMHDmSixcvmhK+9evX4+/vrymEIiIiAijZsrojeca/dTtGo5HBgwfTq1cvdu7cyaxZs5g6deoty9esWRNvb29iYmKYMGEC2dnZty1/K2PHjqV///64uLjQsmVLCgsL2b59OydPnmTw4MHFqqtPnz5Mnz6dt956i379+pGVlcWYMWMYPHiwaSSuSpUqhISEkJiYyOzZswF45plnePnll7l48WKxRraKy8fHB1tbW2bNmkXv3r3ZvXs348ePNyvTq1cvpk2bRnR0NJGRkaSlpbF06VKAG1YJLA5fX19SU1M5ePAgTk5OuLq60rBhQxwcHBgxYgT9+/cnNTXV1NY1AwYMoGvXrtSrV49GjRqRmJjITz/9xCOPPGIqcy/XsGPHjowcOZIePXrwzjvvcPDgQaZMmWJWpm/fvixYsIDXXnvNtNrgvn37+PDDD1m4cKFFI2hLly6lqKjI1Ofly5djb29PjRo1qFq1Kp06daJz585MnTqV0NBQfv/9dzZs2EBISAjPP//8HfswduxYIiMjiY6OZvfu3cyYMYP4+Pg7xiUiIiIPBiVbVuLm5oa9vQMJ3773l7Vpb++Am5tbsY7p3LkzBQUFNGjQgPLlyzNgwIDbviPIxsaGlStXEhUVRUhICPXr1yc2NpYOHToUq93u3bvj4ODA5MmTGTZsGI6OjgQHBzNw4MBi1QNQvXp11qxZw7Bhw3j88cdxdXUlMjKSd99916xckyZNSEtLM6066OrqSlBQEEePHsXf37/Y7VqqWrVqLF26lBEjRjBz5kzq1q3LlClT+Mc//mEq4+fnx8cff8yQIUNMqwiOHDmSqKgoKlaseNdtDx06lC5duhAUFERBQQEHDhzA19eX5cuXM2zYMBYsWMCzzz5LTEyM2XV/5ZVXyMnJYfjw4Zw/f5527doRFRXFunXrTGXu5Ro6OTmxatUqevfuTWhoKEFBQUyaNIl27dqZynh5ebFp0yaio6Np0aIFhYWF1KhRg5YtW5qS6DupXLkyEydOZPDgwRQVFREcHMyqVauoWrUqAEuWLCE2NpYhQ4Zw+PBh3NzcePLJJ3nhhRfuWLeLiwtff/01ffv25YknnsDNzY3Ro0frHVsiIiJiYrhS3Ac+HkCnT5/GxcWFU6dOUalSJYuPMxqNZu8EsjY3N7divdA4PDycOnXqMH36dOsFJXdtwoQJzJs3z+IVIEWkZISEhJCRkUF027l4V3vM4uMO/Z7NpE+jCA4O5scff7RihCIiUpqKkxtoZMuKfHx8ipX8yINtzpw51K9fn6pVq7Jp0yYmT55Mv379SjssEREREblLWvpd/nbi4uLMluK+/tOqVSurtt2qVatbtn2n9y7dq7179/LPf/6ToKAgxo8fz5AhQ4iJibFqm9ZSmtewpJTmvSAiIiL3B00jtMDdTiOUu3PixAlOnDhx03329vZUr17dam0fPnyYgoKCm+5zdXXF1dXVam3fT0rzGpYU3QsPLk0jFBGR29E0QinTSvOHbFlIAsqC+yEZ0b0gIiIi90rTCEVERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAq0GqEVGY1Gjh8//pe15+bm9kC8RPngwYP4+fmxa9cu6tSpU9rh/K107dqVvLw8kpKSSrzumJgYkpKSSEtLK/G6QddVRERE7j9KtqzEaDQS6O/PufPn/7I2HezsyMzKeiASLrm5GTNmcP2r88LDw6lTpw7Tp08vvaDuU+fPn6d3797s2LGDzMxMXnjhBaskuSIiIlJ2KdmykuPHj3Pu/HkmeXryqG1Fq7eXc6GQ6Nxcjh8/brVk68KFC9ja2lqlbikZLi4upR3CA6OoqAh7e3v69+/PJ598UtrhiIiIyN+QntmyskdtKxJkZ2f1z90kdOHh4fTr149+/frh4uKCm5sbo0aNMo2M+Pr6Mn78eDp37kylSpXo2bMnycnJGAwG8vLyTPWkpaVhMBg4ePAgAEuXLqVy5cqsW7eOwMBAnJycaNmyJbm5uWbtL1y4kMDAQOzs7AgICGDOnDl3fZ5TUlJo0KABFStWxNPTk7fffptLly4BsHr1aipXrkxRUZFZvG+//bbp+O7du/P666/fsZ1rfVu9ejX+/v44ODjQvn17zp07R0JCAr6+vlSpUoX+/fub2gNYtmwZ9erVw9nZGQ8PDzp27MixY8fM6v7iiy+oVasWdnZ2NG3alISEBLNzbcl57dq1Ky+++KLp3ykpKcyYMQODwWC6RtfquV5SUhIGg8Fs28SJE3F3d8fZ2ZnIyEjO32SU9l6u4datWwkNDcXOzo569eqxa9euG8rs3r2bVq1a4eTkhLu7O2+88YbZ1Nzw8HD69+/P8OHDcXV1xcPDg5iYGNP+K1euEBMTg4+PDxUrVsTLy4v+/fub9hcWFjJ06FCqV6+Oo6MjDRs2JDk52aL4HR0dmTt3Lj169MDDw8PifouIiMiDQ8nWAy4hIYEKFSqwdetWZsyYwbRp01i4cKFp/5QpU3j88cfZtWsXo0aNsrjec+fOMWXKFJYtW8Z3332H0Whk6NChpv2JiYmMHj2aCRMmkJmZSVxcHKNGjSIhIaHYfTh8+DCtW7emfv36pKenM3fuXBYtWkRsbCwAjRs35syZM6Yf8ykpKbi5uZn9qE5JSSE8PNzivs2cOZMPP/yQtWvXkpyczEsvvcSaNWtYs2YNy5YtY/78+Xz88cemYy5evMj48eNJT08nKSmJgwcP0rVrV9P+AwcO0L59e1588UXS09Pp1asXI0eOvGnbtzuv15sxYwZhYWH06NGD3NxccnNz8fb2tqiPH330ETExMcTFxbF9+3Y8PT1vSKTu5Rrm5+fzwgsvEBQUxI4dO4iJibmhH3l5eTRr1ozQ0FC2b9/O2rVrOXr0KC+//LJZuYSEBBwdHUlNTeX9999n3LhxrF+/HoBPPvmE+Ph45s+fz969e0lKSiI4ONh0bL9+/di8eTMffvghP/74Ix06dKBly5bs3bvXovMkIiIicjuaRviA8/b2Jj4+HoPBgL+/PxkZGcTHx9OjRw8AmjVrxpAhQ0zlDx06ZFG9Fy9eZN68eTz66KPA1R+148aNM+0fM2YMU6dOpW3btgD4+fnx888/M3/+fLp06VKsPsyZMwdvb29mz56NwWAgICCA3377jejoaEaPHo2Liwt16tQhOTmZevXqkZyczKBBgxg7diz5+fmcOnWKffv20aRJE4v7NnfuXFPf2rdvz7Jlyzh69ChOTk4EBQXRtGlTNm7cyCuvvAJAt27dTMc/8sgjzJw5k/r165Ofn4+TkxPz58/H39+fyZMnA+Dv78/u3buZMGFCsc7r9VxcXLC1tcXBwaHYIy/Tp08nMjKSyMhIAGJjY/nmm2/MRrfu5RquWLGCy5cvs2jRIuzs7Khduza//vorUVFRpjKzZ88mNDSUuLg407bFixfj7e1NdnY2jz32GAAhISGMGTMGgFq1ajF79mw2bNjAc889h9FoxMPDg+bNm2NjY4OPjw8NGjQArj5XuWTJEoxGI15eXgAMHTqUtWvXsmTJErN2RURERO6GRrYecE8++aTZ9LGwsDD27t1rmgJXr169u6rXwcHBlBAAeHp6mqbNnT17lpycHCIjI3FycjJ9YmNjycnJKXZbmZmZhIWFmfWjUaNG5Ofn8+uvvwLQpEkTkpOTuXLlCt9//z1t27YlMDCQH374gZSUFLy8vKhVq9Zd9c3d3R1fX1+cnJzMtl0/TXDHjh20adMGHx8fnJ2dTYmd0WgEICsri/r165u1cy0puF3b15/XkpSZmUnDhg3NtoWFhZn+fa/XMDMzk5CQEOzs7G5aP0B6ejobN240qz8gIADArI2QkBCz464/Jx06dKCgoIBHHnmEHj168Nlnn5mml2ZkZFBUVMRjjz1m1kZKSspd3YciIiIif6aRLbktR0dHs+/lyl3Nz69f8e7ixYs3HGdjY2P23WAwmI7Jz88HYMGCBTf8oC9fvvy9B30T4eHhLF68mPT0dGxsbAgICCA8PJzk5GROnjxp8agW3LxvN9t2+fJl4GpiEhERQUREBImJiVSrVg2j0UhERAQXLlwoVj9ud14tVa5cuRuOudk1vJ2/4hrm5+fTpk0bJk2adMM+T09P079vd+69vb3Jysrim2++Yf369fTp04fJkyeTkpJCfn4+5cuXZ8eOHTfEfH3iLCIiInK3lGw94FJTU82+b9myhVq1at3yB3O1atUAyM3NpUqVKgDFfu+Su7s7Xl5e7N+/n06dOhU/6D8JDAzkk08+4cqVK6bRrU2bNuHs7MzDDz8M/N9zW/Hx8abEKjw8nIkTJ3Ly5EmzqZIlbc+ePfzxxx9MnDjR9MzU9u3bzcr4+/uzZs0as23btm2757ZtbW3NFuqAq9fwzJkznD171pRM//kaBgYGkpqaSufOnU3btmzZYvr3vV7DwMBAli1bxvnz502jW9fXD1C3bl0++eQTfH19qVDh7v+nyt7enjZt2tCmTRv69u1LQEAAGRkZhIaGUlRUxLFjx2jcuPFd1y8iIiJyK5pG+IAzGo0MHjyYrKwsVq5cyaxZsxgwYMAty9esWRNvb29iYmLYu3cvX375JVOnTi12u2PHjuW9995j5syZZGdnk5GRwZIlS5g2bVqx6+rTpw+HDh3irbfeYs+ePXz++eeMGTOGwYMHm0biqlSpQkhICImJiaaFMJ555hl27txJdnZ2sUa2isvHxwdbW1tmzZrF/v37+eKLLxg/frxZmV69erFnzx6io6PJzs7mo48+YunSpQA3rBJYHL6+vqSmpnLw4EGOHz/O5cuXadiwIQ4ODowYMYKcnBxWrFhhauuaAQMGsHjxYpYsWUJ2djZjxozhp59+MitzL9ewY8eOGAwGevTowc8//8yaNWuYMmWKWZm+ffty4sQJXnvtNbZt20ZOTg7r1q3jzTffvCGBvJWlS5eyaNEidu/ezf79+1m+fDn29vbUqFGDxx57jE6dOtG5c2c+/fRTDhw4wNatW3nvvff48ssvLar/559/Ji0tjRMnTnDq1CnS0tKs9tJnERERKXs0smVlORcK/9btdO7cmYKCAho0aED58uUZMGAAPXv2vGV5GxsbVq5cSVRUFCEhIdSvX5/Y2Fg6dOhQrHa7d++Og4MDkydPZtiwYTg6OhIcHMzAgQOL3Yfq1auzZs0ahg0bxuOPP46rqyuRkZG8++67ZuWaNGlCWlqaKdlydXUlKCiIo0eP4u/vX+x2LVWtWjWWLl3KiBEjmDlzJnXr1mXKlCn84x//MJXx8/Pj448/ZsiQIaZVBEeOHElUVBQVK979e9qGDh1Kly5dCAoKoqCggAMHDuDr68vy5csZNmwYCxYs4NlnnyUmJsbsur/yyivk5OQwfPhwzp8/T7t27YiKimLdunWmMvdyDZ2cnFi1ahW9e/cmNDSUoKAgJk2aRLt27UxlvLy82LRpE9HR0bRo0YLCwkJq1KhBy5YtTUn0nVSuXJmJEycyePBgioqKCA4OZtWqVVStWhWAJUuWEBsby5AhQzh8+DBubm48+eSTvPDCCxbV37p1a3755RfT99DQUIBiT+0UERGR+5Phin4V3NHp06dxcXHh1KlTVKpUyaJjjEYjgf7+nLvJu4msxcHOjsysLItfahweHk6dOnWYPn26dQOTuzJhwgTmzZtn8QqQIlIyQkJCyMjIILrtXLyrPWbxcYd+z2bSp1EEBwfz448/WjFCEREpTcXJDTSyZSU+Pj5kZmWZvYDV2tzc3CxOtOTvZ86cOdSvX5+qVauyadMmJk+eTL9+/Uo7LBERERG5S0q2rMjHx0fJz12Ii4u75TuOGjduzFdffWW1tlu1asX3339/030jRoxgxIgRVmt77969xMbGcuLECXx8fBgyZAjvvPOO1dqzptK8hiWlNO8FERERuT9oGqEF7mYaody9EydOcOLEiZvus7e3p3r16lZr+/DhwxQUFNx0n6urK66urlZr+35SmtewpOheeHBpGqGIiNyOphFKmVaaP2TLQhJQFtwPyYjuBREREblXWvpdRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQKsRWpHRaNRLja3g4MGD+Pn5sWvXLurUqVPa4fytdO3alby8PJKSkkq87piYGJKSkkhLSyuR+vbs2UPXrl1JS0sjICCgxOotrvDwcOrUqcP06dNLpf3iKmvxioiIPMiUbFmJ0WjEP8Cf8wXn/7I27eztyNqT9UAkXHJzM2bM4PpX5/2df5iPGTMGR0dHsrKycHJyKu1w5DonTpzgrbfeYtWqVZQrV4527doxY8YMXScREZFiUrJlJcePH+d8wXke7vkwFb0qWr29wt8K+fWDXzl+/LjVkq0LFy5ga2trlbqlZLi4uJR2CBbLycnh+eefp0aNGqUdivxJp06dyM3NZf369Vy8eJE333yTnj17smLFitIOTUREpEzRM1tWVtGrIva+9lb/3E1CFx4eTr9+/ejXrx8uLi64ubkxatQo08iIr68v48ePp3PnzlSqVImePXuSnJyMwWAgLy/PVE9aWhoGg4GDBw8CsHTpUipXrsy6desIDAzEycmJli1bkpuba9b+woULCQwMxM7OjoCAAObMmXPX5zklJYUGDRpQsWJFPD09efvtt7l06RIAq1evpnLlyhQVFZnF+/bbb5uO7969O6+//vod27nWt9WrV+Pv74+DgwPt27fn3LlzJCQk4OvrS5UqVejfv7+pPYBly5ZRr149nJ2d8fDwoGPHjhw7dsys7i+++IJatWphZ2dH06ZNSUhIMDvXlpzXrl278uKLL5r+nZKSwowZMzAYDKZrdK2e6yUlJWEwGMy2TZw4EXd3d5ydnYmMjOT8+RtHae/2GhoMBnbs2MG4ceMwGAzExMRYdG/98ssvtGnThipVquDo6Ejt2rVZs2aNqfzu3btp1aoVTk5OuLu788Ybb5hN5T179iydO3fGyckJT09Ppk6dalG81xQWFhIdHY23tzcVK1akZs2aLFq0yLT/dvchXP2b69+/P8OHD8fV1RUPDw9iYmJM+zt27Mgrr7xi1ubFixdxc3Pj3//+t2nbpUuXbvl3C1f/duPi4ujWrRvOzs74+PjwwQcfWNTHzMxM1q5dy8KFC2nYsCFPP/00s2bN4sMPP+S3334r1vkSERF50CnZesAlJCRQoUIFtm7dyowZM5g2bRoLFy407Z8yZQqPP/44u3btYtSoURbXe+7cOaZMmcKyZcv47rvvMBqNDB061LQ/MTGR0aNHM2HCBDIzM4mLi2PUqFEkJCQUuw+HDx+mdevW1K9fn/T0dObOncuiRYuIjY0FoHHjxpw5c4Zdu3YBV38Qu7m5kZycbKojJSWF8PBwi/s2c+ZMPvzwQ9auXUtycjIvvfQSa9asYc2aNSxbtoz58+fz8ccfm465ePEi48ePJz09naSkJA4ePEjXrl1N+w8cOED79u158cUXSU9Pp1evXowcOfKmbd/uvF5vxowZhIWF0aNHD3Jzc8nNzcXb29uiPn700UfExMQQFxfH9u3b8fT0vCGRupdrmJubS+3atRkyZAi5ubm37MOf9e3bl8LCQr777jsyMjKYNGmSaWpbXl4ezZo1IzQ0lO3bt7N27VqOHj3Kyy+/bDp+2LBhpKSk8Pnnn/P111+TnJzMzp07LWoboHPnzqxcuZKZM2eSmZnJ/PnzTe3f6T68JiEhAUdHR1JTU3n//fcZN24c69evB66OKK1atYr8/HxT+XXr1nHu3Dleeuklszpu93cLMHXqVOrVq8euXbvo06cPUVFRZGVl3bGPmzdvpnLlytSrV8+0rXnz5pQrV47U1FSLz5WIiIhoGuEDz9vbm/j4eAwGA/7+/mRkZBAfH0+PHj0AaNasGUOGDDGVP3TokEX1Xrx4kXnz5vHoo48C0K9fP8aNG2faP2bMGKZOnUrbtm0B8PPz4+eff2b+/Pl06dKlWH2YM2cO3t7ezJ49G4PBQEBAAL/99hvR0dGMHj0aFxcX6tSpQ3JyMvXq1SM5OZlBgwYxduxY8vPzOXXqFPv27aNJkyYW923u3LmmvrVv355ly5Zx9OhRnJycCAoKomnTpmzcuNE0StGtWzfT8Y888ggzZ86kfv365Ofn4+TkxPz58/H392fy5MkA+Pv7s3v3biZMmFCs83o9FxcXbG1tcXBwwMPDo1jndPr06URGRhIZGQlAbGws33zzjdno1r1cQw8PDypUqICTk1OxYjMajbRr147g4GDg6rm8Zvbs2YSGhhIXF2fatnjxYry9vcnOzsbLy4tFixaxfPlynn32WeBq0vLwww9b1HZ2djYfffQR69evp3nz5je0f6f7sFy5q/9tKyQkhDFjxgBQq1YtZs+ezYYNG3juueeIiIjA0dGRzz77jDfeeAOAFStW8I9//ANnZ2dTW3f6uwVo3bo1ffr0ASA6Opr4+Hg2btyIv7//bft55MgRHnroIbNtFSpUwNXVlSNHjlh0rkREROQqjWw94J588kmz6WNhYWHs3bvXNAXu+v+6XRwODg6mhADA09PTNG3u7Nmz5OTkEBkZiZOTk+kTGxtLTk5OsdvKzMwkLCzMrB+NGjUiPz+fX3/9FYAmTZqQnJzMlStX+P7772nbti2BgYH88MMPpKSk4OXlRa1ate6qb+7u7vj6+potHuDu7m42TXDHjh20adMGHx8fnJ2dTYmd0WgEICsri/r165u106BBgzu2ff15LUmZmZk0bNjQbFtYWJjp3yV9DS3Vv39/YmNjadSoEWPGjOHHH3807UtPT2fjxo1m8QQEBABXnw/LycnhwoULZv1ydXW9Y/JxTVpaGuXLl79lUm7JfQhXk63rXX8NK1SowMsvv0xiYiJw9Tx//vnndOrUyeyYO/3d/rkdg8GAh4eHVe4VERERuTWNbMltOTo6mn2/9l/nr38+5OLFizccZ2NjY/bdYDCYjrk2RWrBggU3/KAvX778vQd9E+Hh4SxevJj09HRsbGwICAggPDyc5ORkTp48afGoFty8bzfbdvnyZeDqD+aIiAgiIiJITEykWrVqGI1GIiIiuHDhQrH6cbvzaqly5crdcMzNruHtWOMaWnJvde/enYiICL788ku+/vpr3nvvPaZOncpbb71Ffn4+bdq0YdKkSTfU7enpyb59++4qrmvs7e3v6fhrbnevwNWphE2aNOHYsWOsX78ee3t7WrZsWeLt3MrNkrJLly5x4sSJYo+QioiIPOg0svWA+/MzGFu2bKFWrVq3/MFcrVo1ALNFGYr7fiR3d3e8vLzYv38/NWvWNPv4+fkVrwNAYGAgmzdvNvuRvmnTJpydnU1TxK49txUfH29KrK4lW8nJyRY/r3U39uzZwx9//MHEiRNp3LgxAQEBN/yY9ff3Z/v27Wbbtm3bds9t29ramo12wNVreObMGc6ePWva9udrGBgYeNN745qSvobX4oI731ve3t707t2bTz/9lCFDhrBgwQIA6taty08//YSvr+8NMTk6OvLoo49iY2Nj1q+TJ0+SnZ1tUXzBwcFcvnyZlJSUm+635D60xFNPPYW3tzf/+7//S2JiIh06dLghcSru321xhIWFkZeXx44dO0zbvv32Wy5fvnxDYi0iIiK3V6rJlq+vr2mVtOs/ffv2BeD8+fP07duXqlWr4uTkRLt27Th69KhZHUajkeeffx4HBwceeughhg0bZrb6F0BycjJ169Y1rR62dOnSv6qLf3tGo5HBgweTlZXFypUrmTVrFgMGDLhl+Zo1a+Lt7U1MTAx79+7lyy+/LPaKbgBjx47lvffeY+bMmWRnZ5ORkcGSJUuYNm1asevq06cPhw4d4q233mLPnj18/vnnjBkzhsGDB5tGS6pUqUJISAiJiYmmxOqZZ55h586dZGdnF2tkq7h8fHywtbVl1qxZ7N+/ny+++ILx48eblenVqxd79uwhOjra9GzQtfv0z6sEFoevry+pqakcPHiQ48ePm34wOzg4MGLECHJyclixYsUNfxMDBgxg8eLFLFmyhOzsbMaMGcNPP/1kVqYkryFYdm8NHDiQdevWceDAAXbu3MnGjRsJDAwEri6eceLECV577TW2bdtGTk4O69at480336SoqAgnJyciIyMZNmwY3377Lbt376Zr166me8SSc9mlSxe6detGUlISBw4cIDk5mY8++giw7D60VMeOHZk3bx7r16+/YQohFP/vtjgCAwNp2bIlPXr0YOvWrWzatIl+/frx6quv4uXlVSJtiIiIPChKNdnatm2baZW0a+90AejQoQMAgwYNYtWqVfznP/8hJSWF3377zfQwPkBRURHPP/88Fy5c4L///S8JCQksXbqU0aNHm8ocOHCA559/nqZNm5KWlsbAgQPp3r0769at+0v6WPhbIQUHC6z+Kfyt8K7i69y5MwUFBTRo0IC+ffsyYMAAevbsecvyNjY2rFy5kj179hASEsKkSZNuWG3NEt27d2fhwoUsWbKE4OBgmjRpwtKlS+9qVKR69eqsWbOGrVu38vjjj9O7d28iIyN59913zco1adKEoqIiU7Ll6upKUFAQHh4eFj+3czeqVavG0qVL+c9//kNQUBATJ05kypQpZmX8/Pz4+OOP+fTTTwkJCWHu3Lmm1QgrVrz797QNHTqU8uXLExQUZJq+6OrqyvLly1mzZg3BwcGsXLnSbPlxgFdeeYVRo0YxfPhwnnjiCX755ReioqLMypTkNQTL7q2ioiL69u1rSggee+wx0yqJXl5ebNq0iaKiIlq0aEFwcDADBw6kcuXKpmRn8uTJNG7cmDZt2tC8eXOefvppnnjiCYtjnDt3Lu3bt6dPnz4EBATQo0cP0wihpfehJTp16sTPP/9M9erVadSo0Q37i/t3W1yJiYkEBATw7LPP0rp1a55++mmLl44XERGR/2O4UtwHPqxo4MCBrF69mr1793L69GmqVavGihUraN++PXB1Ota1qTpPPvkkX331FS+88AK//fYb7u7uAMybN4/o6Gh+//13bG1tiY6O5ssvv2T37t2mdl599VXy8vJYu3atRXGdPn0aFxcXTp06RaVKlSw6xmg04h/gz/mCG99NZC129nZk7cmy+KXG4eHh1KlTh+nTp1s3MLkrEyZMYN68eRavACkiJSMkJISMjAyi287Fu9pjFh936PdsJn0aRXBwsNniLSIicn8pTm7wt1kg48KFCyxfvpzBgwebXnh68eJF0xLLAAEBAfj4+JiSrc2bNxMcHGxKtAAiIiKIiorip59+IjQ0lM2bN5vVca3MwIEDbxlLYWEhhYX/N1J0+vTpYvfHx8eHrD1ZZi9UtTY3NzeLEy35+5kzZw7169enatWqbNq0icmTJ9OvX7/SDktERERE7tLfJtlKSkoiLy/P9KLXI0eOYGtrS+XKlc3Kubu7m971cuTIEbNE69r+a/tuV+b06dMUFBTcdIWx9957j7Fjx95zn3x8fJT83IW4uDizdyVdr3Hjxnz11VdWa7tVq1Z8//33N903YsQIRowYYbW29+7dS2xsLCdOnMDHx4chQ4bwzjvvWK09ayrNa3i3vv/+e1q1anXL/de/aLgsK4vXRkREpKz62yRbixYtolWrVn+LB7DfeecdBg8ebPp++vRpvL29SzEi60hOTi7tEG6qd+/evPzyyzfdV1LLb9/KwoULKSgouOk+V1dXq7YdHx9PfHy8Vdv4q5TmNbxb9erVK/bKmmVRWbw2IiIiZdXfItn65Zdf+Oabb/j0009N2zw8PLhw4QJ5eXlmo1tHjx41vevFw8ODrVu3mtV1bbXC68v8eQXDo0ePUqlSpVv+sKhYseI9LUog98bV1dXqic2tVK9evVTavd+U5jW8W/b29tSsWbO0w7C6snhtREREyqq/xXu2lixZwkMPPcTzzz9v2vbEE09gY2PDhg0bTNuysrIwGo2EhYUBV98Hk5GRYfbOovXr11OpUiWCgoJMZa6v41qZa3WIiIiIiIhYQ6knW5cvX2bJkiV06dKFChX+b6DNxcWFyMhIBg8ezMaNG9mxYwdvvvkmYWFhPPnkkwC0aNGCoKAg3njjDdLT01m3bh3vvvsuffv2NY1M9e7dm/379zN8+HD27NnDnDlz+Oijjxg0aFCp9FdERERERB4MpT6N8JtvvsFoNNKtW7cb9sXHx1OuXDnatWtHYWEhERERpnfqAJQvX57Vq1cTFRVFWFgYjo6OdOnShXHjxpnK+Pn58eWXXzJo0CBmzJjBww8/zMKFC4mIiPhL+iciIiIiIg+mUk+2WrRowa1e9WVnZ8e//vUv/vWvf93y+Bo1arBmzZrbthEeHs6uXbvuKU4REREREZHiKPVphCIiIiIiIvejUh/Zup8ZjUa91NgKDh48iJ+fH7t27aJOnTqlHc7fSteuXcnLyyMpKanE646JiSEpKanElkffs2cPXbt2JS0tjYCAgFJbdj08PJw6deowffr0Umm/uMpavCIiIg8yJVtWYjQaCQzw51zB+b+sTQd7OzL3ZD0QCZfc3IwZM8ym5f6df5iPGTMGR0dHsrKycHJyKu1w5DoTJkzgyy+/JC0tDVtbW/Ly8ko7JBERkTJJyZaVHD9+nHMF51n+kj2B1aw/WzPz98u8/lkBx48ft1qydeHCBWxtba1St5QMFxeX0g7BYjk5OTz//PPUqFGjtEORP7lw4QIdOnQgLCyMRYsWlXY4IiIiZZae2bKywGrlqOtZ3uqfu0nowsPD6devH/369cPFxQU3NzdGjRplGhnx9fVl/PjxdO7cmUqVKtGzZ0+Sk5MxGAxm/6U7LS0Ng8HAwYMHAVi6dCmVK1dm3bp1BAYG4uTkRMuWLcnNzTVrf+HChQQGBmJnZ0dAQIDZSpPFlZKSQoMGDahYsSKenp68/fbbXLp0CYDVq1dTuXJlioqKzOJ9++23Tcd3796d119//Y7tXOvb6tWr8ff3x8HBgfbt23Pu3DkSEhLw9fWlSpUq9O/f39QewLJly6hXrx7Ozs54eHjQsWNHs/fDAXzxxRfUqlULOzs7mjZtSkJCgtm5tuS8du3alRdffNH075SUFGbMmIHBYDBdo2v1XC8pKQmDwWC2beLEibi7u+Ps7ExkZCTnz984Snu319BgMLBjxw7GjRuHwWAgJibGonvrl19+oU2bNlSpUgVHR0dq165ttkDO7t27adWqFU5OTri7u/PGG2+YTeU9e/YsnTt3xsnJCU9PT6ZOnWpRvNcUFhYSHR2Nt7c3FStWpGbNmmbJyO3uQ7j6N9e/f3+GDx+Oq6srHh4exMTEmPZ37NiRV155xazNixcv4ubmxr///W/TtkuXLt3y7xau/u3GxcXRrVs3nJ2d8fHx4YMPPrC4n2PHjmXQoEEEBwcX5/SIiIjInyjZesAlJCRQoUIFtm7dyowZM5g2bRoLFy407Z8yZQqPP/44u3btYtSoURbXe+7cOaZMmcKyZcv47rvvMBqNDB061LQ/MTGR0aNHM2HCBDIzM4mLi2PUqFEkJCQUuw+HDx+mdevW1K9fn/T0dObOncuiRYuIjY0FoHHjxpw5c8a0ImVKSgpubm4kJyeb6khJSSE8PNzivs2cOZMPP/yQtWvXkpyczEsvvcSaNWtYs2YNy5YtY/78+Xz88cemYy5evMj48eNJT08nKSmJgwcP0rVrV9P+AwcO0L59e1588UXS09Pp1asXI0eOvGnbtzuv15sxYwZhYWH06NGD3NxccnNz8fb2tqiPH330ETExMcTFxbF9+3Y8PT1vSKTu5Rrm5uZSu3ZthgwZQm5u7i378Gd9+/alsLCQ7777joyMDCZNmmSagpiXl0ezZs0IDQ1l+/btrF27lqNHj/Lyyy+bjh82bBgpKSl8/vnnfP311yQnJ7Nz506L2gbo3LkzK1euZObMmWRmZjJ//nxT+3e6D69JSEjA0dGR1NRU3n//fcaNG8f69esB6NSpE6tWrSI/P99Uft26dZw7d46XXnrJrI7b/d0CTJ06lXr16rFr1y769OlDVFQUWVlZFvdVRERE7p2mET7gvL29iY+Px2Aw4O/vT0ZGBvHx8fTo0QOAZs2aMWTIEFP5Q4cOWVTvxYsXmTdvHo8++igA/fr1M3v/2ZgxY5g6dSpt27YFrr4P7eeff2b+/Pl06dKlWH2YM2cO3t7ezJ49G4PBQEBAAL/99hvR0dGMHj0aFxcX6tSpQ3JyMvXq1SM5OZlBgwYxduxY8vPzOXXqFPv27aNJkyYW923u3LmmvrVv355ly5Zx9OhRnJycCAoKomnTpmzcuNE0SnH9e+QeeeQRZs6cSf369cnPz8fJyYn58+fj7+/P5MmTAfD392f37t1MmDChWOf1ei4uLtja2uLg4ICHh0exzun06dOJjIwkMjISgNjYWL755huz0a17uYYeHh5UqFABJyenYsVmNBpp166dacTlkUceMe2bPXs2oaGhxMXFmbYtXrwYb29vsrOz8fLyYtGiRSxfvpxnn30WuJq0PPzwwxa1nZ2dzUcffcT69etp3rz5De3f6T4sV+7qf9sKCQlhzJgxANSqVYvZs2ezYcMGnnvuOSIiInB0dOSzzz7jjTfeAGDFihX84x//wNnZ2dTWnf5uAVq3bk2fPn0AiI6OJj4+no0bN+Lv72/h2RYREZF7pZGtB9yTTz5pNn0sLCyMvXv3mqbA1atX767qdXBwMCUEAJ6enqZpc2fPniUnJ4fIyEicnJxMn9jYWHJycordVmZmJmFhYWb9aNSoEfn5+fz6668ANGnShOTkZK5cucL3339P27ZtCQwM5IcffiAlJQUvLy9q1ap1V31zd3fH19fXbJEHd3d3s2mCO3bsoE2bNvj4+ODs7GxK7IxGIwBZWVnUr1/frJ0GDRrcse3rz2tJyszMpGHDhmbbwsLCTP8u6Wtoqf79+xMbG0ujRo0YM2YMP/74o2lfeno6GzduNIsnICAAuPp8WE5ODhcuXDDrl6urq8XJR1paGuXLl79lUm7JfQhXk63rXX8NK1SowMsvv0xiYiJw9Tx//vnndOrUyeyYO/3d/rkdg8GAh4eHVe4VERERuTWNbMltOTo6mn2/9l/nr38+5OLFizccZ2NjY/bdYDCYjrk2RWrBggU3/KAvX778vQd9E+Hh4SxevJj09HRsbGwICAggPDyc5ORkTp48afGoFty8bzfbdvnyZeDqD+aIiAgiIiJITEykWrVqGI1GIiIiuHDhQrH6cbvzaqly5crdcMzNruHtWOMaWnJvde/enYiICL788ku+/vpr3nvvPaZOncpbb71Ffn4+bdq0YdKkSTfU7enpyb59++4qrmvs7e3v6fhrbnevwNWphE2aNOHYsWOsX78ee3t7WrZsWeLtiIiIiPVpZOsBl5qaavZ9y5Yt1KpV65Y/mKtVqwZgtihDcd+P5O7ujpeXF/v376dmzZpmHz8/v+J1AAgMDGTz5s1mP9I3bdqEs7OzaYrYtee24uPjTYnVtWQrOTnZ4ue17saePXv4448/mDhxIo0bNyYgIOCGEQZ/f3+2b99utm3btm333Latra3ZaAdcvYZnzpzh7Nmzpm1/voaBgYE3vTeuKelreC0uuPO95e3tTe/evfn0008ZMmQICxYsAKBu3br89NNP+Pr63hCTo6Mjjz76KDY2Nmb9OnnyJNnZ2RbFFxwczOXLl0lJSbnpfkvuQ0s89dRTeHt787//+78kJibSoUOHGxKn4v7dioiISOlQsvWAMxqNDB48mKysLFauXMmsWbMYMGDALcvXrFkTb29vYmJi2Lt3L19++WWxV3SDq6udvffee8ycOZPs7GwyMjJYsmQJ06ZNK3Zdffr04dChQ7z11lvs2bOHzz//nDFjxjB48GDTaEmVKlUICQkhMTHRlFg988wz7Ny5k+zs7GKNbBWXj48Ptra2zJo1i/379/PFF18wfvx4szK9evViz549REdHm54NWrp0KcANqwQWh6+vL6mpqRw8eJDjx49z+fJlGjZsiIODAyNGjCAnJ4cVK1aY2rpmwIABLF68mCVLlpCdnc2YMWP46aefzMqU5DUEy+6tgQMHsm7dOg4cOMDOnTvZuHEjgYGBwNXFM06cOMFrr73Gtm3byMnJYd26dbz55psUFRXh5OREZGQkw4YN49tvv2X37t107drVdI9Yci67dOlCt27dSEpK4sCBAyQnJ/PRRx8Blt2HlurYsSPz5s1j/fr1N0whhOL/3RaX0WgkLS0No9FIUVERaWlppKWlmS3cISIiInemaYRWlvn7XzNt527b6dy5MwUFBTRo0IDy5cszYMAAevbsecvyNjY2rFy5kqioKEJCQqhfvz6xsbF06NChWO12794dBwcHJk+ezLBhw3B0dCQ4OJiBAwcWuw/Vq1dnzZo1DBs2jMcffxxXV1ciIyN59913zco1adKEtLQ0U7Ll6upKUFAQR48eteqiAdWqVWPp0qWMGDGCmTNnUrduXaZMmcI//vEPUxk/Pz8+/vhjhgwZYlpFcOTIkURFRVGxYsW7bnvo0KF06dKFoKAgCgoKOHDgAL6+vixfvpxhw4axYMECnn32WWJiYsyu+yuvvEJOTg7Dhw/n/PnztGvXjqioKNatW2cqU5LXECy7t4qKiujbty+//vorlSpVomXLlsTHxwPg5eXFpk2biI6OpkWLFhQWFlKjRg1atmxpSnYmT55smm7o7OzMkCFDOHXqlMUxzp07lxEjRtCnTx/++OMPfHx8GDFiBGD5fWiJTp06MWHCBGrUqEGjRo1u2F/cv9viGj16tNmqkqGhoQBs3LjRqqPAIiIi9xvDleI+8PEAOn36NC4uLpw6dYpKlSpZdIzRaCQwwJ9zBTe+m8haHOztyNyTZfFLjcPDw6lTpw7Tp0+3bmByVyZMmMC8efMsXgFSREpGSEgIGRkZRLedi3e1xyw+7tDv2Uz6NIrg4GCzxVtEROT+UpzcQCNbVuLj40PmniyzF6pam5ubm8WJlvz9zJkzh/r161O1alU2bdrE5MmT6devX2mHJSIiIiJ3ScmWFfn4+Cj5uQtxcXFm70q6XuPGjfnqq6+s1narVq34/vvvb7pvxIgRpilj1rB3715iY2M5ceIEPj4+DBkyhHfeecdq7VlTaV7Du/X999/TqlWrW+6/X55XKovXRkREpKzSNEIL3M00Qrl7J06c4MSJEzfdZ29vT/Xq1a3W9uHDhykoKLjpPldXV1xdXa3W9v2kNK/h3SooKODw4cO33F+zZs2/MBrrKYvX5q+maYQiInI7mkYoZVppJjX6oVkyymJiam9vf98kVLdTFq+NiIhIWaWl30VERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAq0GqEVGY1GvdTYCg4ePIifnx+7du2iTp06pR3O30rXrl3Jy8sjKSmpxOuOiYkhKSmJtLS0Eqlvz549dO3albS0NAICAkqs3uIKDw+nTp06TJ8+vVTaL66yFq+IiMiDTMmWlRiNRvwDAjlfcO4va9PO3oGsPZkPRMIlNzdjxgyuf3Xe3/mH+ZgxY3B0dCQrKwsnJ6fSDkf+v4MHDzJ+/Hi+/fZbjhw5gpeXF6+//jojR47E1ta2tMMTEREpU5RsWcnx48c5X3COqi8Mwaaqt9Xbu/jHIf5YPZXjx49bLdm6cOGCfmz9zbm4uJR2CBbLycnh+eefp0aNGqUdilxnz549XL58mfnz51OzZk12795Njx49OHv2LFOmTCnt8ERERMoUPbNlZTZVvanoUdPqn7tJ6MLDw+nXrx/9+vXDxcUFNzc3Ro0aZRoZ8fX1Zfz48XTu3JlKlSrRs2dPkpOTMRgM5OXlmepJS0vDYDBw8OBBAJYuXUrlypVZt24dgYGBODk50bJlS3Jzc83aX7hwIYGBgdjZ2REQEMCcOXPu+jynpKTQoEEDKlasiKenJ2+//TaXLl0CYPXq1VSuXJmioiKzeN9++23T8d27d+f111+/YzvX+rZ69Wr8/f1xcHCgffv2nDt3joSEBHx9falSpQr9+/c3tQewbNky6tWrh7OzMx4eHnTs2JFjx46Z1f3FF19Qq1Yt7OzsaNq0KQkJCWbn2pLz2rVrV1588UXTv1NSUpgxYwYGg8F0ja7Vc72kpCQMBoPZtokTJ+Lu7o6zszORkZGcP3/+hvNxt9fQYDCwY8cOxo0bh8FgICYmxqJ765dffqFNmzZUqVIFR0dHateuzZo1a0zld+/eTatWrXBycsLd3Z033njDbCrv2bNn6dy5M05OTnh6ejJ16lSL4r2msLCQ6OhovL29qVixIjVr1mTRokWm/be7D+Hq31z//v0ZPnw4rq6ueHh4EBMTY9rfsWNHXnnlFbM2L168iJubG//+979N2y5dunTLv1u4+rcbFxdHt27dcHZ2xsfHhw8++MCiPrZs2ZIlS5bQokULHnnkEf7xj38wdOhQPv3002KdKxEREVGy9cBLSEigQoUKbN26lRkzZjBt2jQWLlxo2j9lyhQef/xxdu3axahRoyyu99y5c0yZMoVly5bx3XffYTQaGTp0qGl/YmIio0ePZsKECWRmZhIXF8eoUaNISEgodh8OHz5M69atqV+/Punp6cydO5dFixYRGxsLQOPGjTlz5gy7du0Crv4gdnNzIzk52VRHSkoK4eHhFvdt5syZfPjhh6xdu5bk5GReeukl1qxZw5o1a1i2bBnz58/n448/Nh1z8eJFxo8fT3p6OklJSRw8eJCuXbua9h84cID27dvz4osvkp6eTq9evRg5cuRN277deb3ejBkzCAsLo0ePHuTm5pKbm4u3t2VJ+UcffURMTAxxcXFs374dT0/PGxKpe7mGubm51K5dmyFDhpCbm3vLPvxZ3759KSws5LvvviMjI4NJkyaZpiDm5eXRrFkzQkND2b59O2vXruXo0aO8/PLLpuOHDRtGSkoKn3/+OV9//TXJycns3LnTorYBOnfuzMqVK5k5cyaZmZnMnz/f1P6d7sNrEhIScHR0JDU1lffff59x48axfv16ADp16sSqVavIz883lV+3bh3nzp3jpZdeMqvjdn+3AFOnTqVevXrs2rWLPn36EBUVRVZWlsV9vd6pU6dwdXW9q2NFREQeZJpG+IDz9vYmPj4eg8GAv78/GRkZxMfH06NHDwCaNWvGkCFDTOUPHTpkUb0XL15k3rx5PProowD069ePcePGmfaPGTOGqVOn0rZtWwD8/Pz4+eefmT9/Pl26dClWH+bMmYO3tzezZ8/GYDAQEBDAb7/9RnR0NKNHj8bFxYU6deqQnJxMvXr1SE5OZtCgQYwdO5b8/HxOnTrFvn37aNKkicV9mzt3rqlv7du3Z9myZRw9ehQnJyeCgoJo2rQpGzduNI1SdOvWzXT8I488wsyZM6lfvz75+fk4OTkxf/58/P39mTx5MgD+/v7s3r2bCRMmFOu8Xs/FxQVbW1scHBzw8PAo1jmdPn06kZGRREZGAhAbG8s333xjNrp1L9fQw8ODChUq4OTkVKzYjEYj7dq1Izg4GLh6Lq+ZPXs2oaGhxMXFmbYtXrwYb29vsrOz8fLyYtGiRSxfvpxnn30WuJq0PPzwwxa1nZ2dzUcffcT69etp3rz5De3f6T4sV+7qf9sKCQlhzJgxANSqVYvZs2ezYcMGnnvuOSIiInB0dOSzzz7jjTfeAGDFihX84x//wNnZ2dTWnf5uAVq3bk2fPn0AiI6OJj4+no0bN+Lv72/h2b5q3759zJo1S1MIRURE7oJGth5wTz75pNn0sbCwMPbu3WuaAlevXr27qtfBwcGUEAB4enqaps2dPXuWnJwcIiMjcXJyMn1iY2PJyckpdluZmZmEhYWZ9aNRo0bk5+fz66+/AtCkSROSk5O5cuUK33//PW3btiUwMJAffviBlJQUvLy8qFWr1l31zd3dHV9fX7NFHtzd3c2mCe7YsYM2bdrg4+ODs7OzKbEzGo0AZGVlUb9+fbN2GjRocMe2rz+vJSkzM5OGDRuabQsLCzP9u6SvoaX69+9PbGwsjRo1YsyYMfz444+mfenp6WzcuNEsnoCAAODq82E5OTlcuHDBrF+urq4WJx9paWmUL1/+lkm5JfchXE22rnf9NaxQoQIvv/wyiYmJwNXz/Pnnn9OpUyezY+70d/vndgwGAx4eHsW+Vw4fPkzLli3p0KGDWSInIiIiltHIltyWo6Oj2fdr/3X++udDLl68eMNxNjY2Zt8NBoPpmGtTpBYsWHDDD/ry5cvfe9A3ER4ezuLFi0lPT8fGxoaAgADCw8NJTk7m5MmTFo9qwc37drNtly9fBq7+YI6IiCAiIoLExESqVauG0WgkIiKCCxcuFKsftzuvlipXrtwNx9zsGt6ONa6hJfdW9+7diYiI4Msvv+Trr7/mvffeY+rUqbz11lvk5+fTpk0bJk2adEPdnp6e7Nu3767iusbe3v6ejr/mdvcKXJ1K2KRJE44dO8b69euxt7enZcuWJd7Onfz22280bdqUp556yuLnvURERMScRrYecKmpqWbft2zZQq1atW75g7latWoAZosyFPf9SO7u7nh5ebF//35q1qxp9vHz8yteB4DAwEA2b95s9iN906ZNODs7m6aIXXtuKz4+3pRYXUu2kpOTLX5e627s2bOHP/74g4kTJ9K4cWMCAgJuGGHw9/dn+/btZtu2bdt2z23b2tqajXbA1Wt45swZzp49a9r252sYGBh403vjmpK+htfigjvfW97e3vTu3ZtPP/2UIUOGsGDBAgDq1q3LTz/9hK+v7w0xOTo68uijj2JjY2PWr5MnT5KdnW1RfMHBwVy+fJmUlJSb7rfkPrTEU089hbe3N//7v/9LYmIiHTp0uCFxKu7fbXEdPnyY8PBwnnjiCZYsWWJKhEVERKR49P+gDzij0cjgwYPJyspi5cqVzJo1iwEDBtyyfM2aNfH29iYmJoa9e/fy5ZdfFntFN4CxY8fy3nvvMXPmTLKzs8nIyGDJkiVMmzat2HX16dOHQ4cO8dZbb7Fnzx4+//xzxowZw+DBg00/EqtUqUJISAiJiYmmxOqZZ55h586dZGdnF2tkq7h8fHywtbVl1qxZ7N+/ny+++ILx48eblenVqxd79uwhOjra9GzQ0qVLAW5YJbA4fH19SU1N5eDBgxw/fpzLly/TsGFDHBwcGDFiBDk5OaxYscLU1jUDBgxg8eLFLFmyhOzsbMaMGcNPP/1kVqYkryFYdm8NHDiQdevWceDAAXbu3MnGjRsJDAwEri6eceLECV577TW2bdtGTk4O69at480336SoqAgnJyciIyMZNmwY3377Lbt376Zr164WJxK+vr506dKFbt26kZSUxIEDB0hOTuajjz4CLLsPLdWxY0fmzZvH+vXrb5hCCMX/uy2Oa4mWj48PU6ZM4ffff+fIkSMcOXKkROoXERF5kGgaoZVd/MOyBSVKq53OnTtTUFBAgwYNKF++PAMGDKBnz563LG9jY8PKlSuJiooiJCSE+vXrExsbS4cOHYrVbvfu3XFwcGDy5MkMGzYMR0dHgoODGThwYLH7UL16ddasWcOwYcN4/PHHcXV1JTIyknfffdesXJMmTUhLSzMlW66urgQFBXH06NFiLxpQHNWqVWPp0qWMGDGCmTNnUrduXaZMmcI//vEPUxk/Pz8+/vhjhgwZYlpFcOTIkURFRVGxYsW7bnvo0KF06dKFoKAgCgoKOHDgAL6+vixfvpxhw4axYMECnn32WWJiYsyu+yuvvEJOTg7Dhw/n/PnztGvXjqioKNatW2cqU5LXECy7t4qKiujbty+//vorlSpVomXLlsTHxwPg5eXFpk2biI6OpkWLFhQWFlKjRg1atmxpSnYmT55smm7o7OzMkCFDOHXqlMUxzp07lxEjRtCnTx/++OMPfHx8GDFiBGD5fWiJTp06MWHCBGrUqEGjRo1u2F/cv9viWL9+Pfv27WPfvn03jMgVd8qqiIjIg85wRf/veUenT5/GxcWFU6dOUalSJYuOMRqN+AcEcr7gnJWj+z929g5k7cm0+KXG4eHh1KlTh+nTp1s3MLkrEyZMYN68eRavACkiJSMkJISMjAyi287Fu9pjFh936PdsJn0aRXBwsNniLSIicn8pTm6gkS0r8fHxIWtPptkLVa3Nzc3N4kRL/n7mzJlD/fr1qVq1Kps2bWLy5Mn069evtMMSERERkbukZMuKfHx8lPzchbi4OLN3JV2vcePGfPXVV1Zru1WrVnz//fc33TdixAjTlDFr2Lt3L7GxsZw4cQIfHx+GDBnCO++8Y7X2rKk0r+Hd+v7772nVqtUt91//ouGyrCxeGxERkbJK0wgtcDfTCOXunThxghMnTtx0n729PdWrV7da24cPH6agoOCm+1xdXXF1dbVa2/eT0ryGd6ugoIDDhw/fcn/NmjX/wmispyxem7+aphGKiMjtaBqhlGmlmdToh2bJKIuJqb29/X2TUN1OWbw2IiIiZZWWfhcREREREbECJVsiIiIiIiJWoGRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAqUbImIiIiIiFiBki0RERERERErULIlIiIiIiJiBUq2RERERERErEDJloiIiIiIiBUo2RIREREREbECJVsiIiIiIiJWoGRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVlDqydbhw4d5/fXXqVq1Kvb29gQHB7N9+3bT/itXrjB69Gg8PT2xt7enefPm7N2716yOEydO0KlTJypVqkTlypWJjIwkPz/frMyPP/5I48aNsbOzw9vbm/fff/8v6Z+IiIiIiDyYSjXZOnnyJI0aNcLGxoavvvqKn3/+malTp1KlShVTmffff5+ZM2cyb948UlNTcXR0JCIigvPnz5vKdOrUiZ9++on169ezevVqvvvuO3r27Gnaf/r0aVq0aEGNGjXYsWMHkydPJiYmhg8++OAv7a+IiIiIiDw4KpRm45MmTcLb25slS5aYtvn5+Zn+feXKFaZPn867777LP//5TwD+/e9/4+7uTlJSEq+++iqZmZmsXbuWbdu2Ua9ePQBmzZpF69atmTJlCl5eXiQmJnLhwgUWL16Mra0ttWvXJi0tjWnTppklZSIiIiIiIiWlVEe2vvjiC+rVq0eHDh146KGHCA0NZcGCBab9Bw4c4MiRIzRv3ty0zcXFhYYNG7J582YANm/eTOXKlU2JFkDz5s0pV64cqamppjLPPPMMtra2pjIRERFkZWVx8uTJG+IqLCzk9OnTZh8REREREZHiKNVka//+/cydO5datWqxbt06oqKi6N+/PwkJCQAcOXIEAHd3d7Pj3N3dTfuOHDnCQw89ZLa/QoUKuLq6mpW5WR3Xt3G99957DxcXF9PH29u7BHorIiIiIiIPklJNti5fvkzdunWJi4sjNDSUnj170qNHD+bNm1eaYfHOO+9w6tQp0+fQoUOlGo+IiIiIiJQ9pZpseXp6EhQUZLYtMDAQo9EIgIeHBwBHjx41K3P06FHTPg8PD44dO2a2/9KlS5w4ccKszM3quL6N61WsWJFKlSqZfURERERERIqjVJOtRo0akZWVZbYtOzubGjVqAFcXy/Dw8GDDhg2m/adPnyY1NZWwsDAAwsLCyMvLY8eOHaYy3377LZcvX6Zhw4amMt999x0XL140lVm/fj3+/v5mKx+KiIiIiIiUlFJNtgYNGsSWLVuIi4tj3759rFixgg8++IC+ffsCYDAYGDhwILGxsXzxxRdkZGTQuXNnvLy8ePHFF4GrI2EtW7akR48ebN26lU2bNtGvXz9effVVvLy8AOjYsSO2trZERkby008/8b//+7/MmDGDwYMHl1bXRURERETkPleqS7/Xr1+fzz77jHfeeYdx48bh5+fH9OnT6dSpk6nM8OHDOXv2LD179iQvL4+nn36atWvXYmdnZyqTmJhIv379ePbZZylXrhzt2rVj5syZpv0uLi58/fXX9O3blyeeeAI3NzdGjx6tZd9FRERERMRqDFeuXLlS2kH83Z0+fRoXFxdOnTql57dERO5zISEhZGRkEN12Lt7VHrP4uEO/ZzPp0yiCg4P58ccfrRihiIiUpuLkBqU6jVBEREREROR+pWRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAqUbImIiIiIiFiBki0RERERERErULIlIiIiIiJiBUq2RERERERErEDJloiIiIiIiBUo2RIREREREbECJVsiIiIiIiJWoGRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK6hQ2gGIiIj8HaUf+IEjJ40Wlz+aZ3lZERF5MCjZEhERuc7Zs2cpB6zdlVjsY8v9/+NFRERAyZaIiIiZwsJCLgOTPD151LaixcflXCgkOjeXwsJC6wUnIiJlipItERGRm3jUtiJBdnalHYaIiJRhWiBDRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVqBkS0RERERExAqUbImIiIiIiFiBki0RERERERErULIlIiIiIiJiBUq2RERERERErEDJloiIiIiIiBUo2RIREREREbECJVsiIiIiIiJWoGRLRERERETECpRsiYiIiIiIWIGSLREREREREStQsiUiIiIiImIFSrZERERERESsQMmWiIiIiIiIFSjZEhERERERsQIlWyIiIiIiIlagZEtERERERMQKlGyJiIiIiIhYgZItERERERERK1CyJSIiIiIiYgVKtkRERERERKxAyZaIiIiIiIgVKNkSERERERGxAiVbIiIiIiIiVlCqyVZMTAwGg8HsExAQYNp//vx5+vbtS9WqVXFycqJdu3YcPXrUrA6j0cjzzz+Pg4MDDz30EMOGDePSpUtmZZKTk6lbty4VK1akZs2aLF269K/onoiIiIiIPMBKfWSrdu3a5Obmmj4//PCDad+gQYNYtWoV//nPf0hJSeG3336jbdu2pv1FRUU8//zzXLhwgf/+978kJCSwdOlSRo8ebSpz4MABnn/+eZo2bUpaWhoDBw6ke/furFu37i/tp4iIiIiIPFgqlHoAFSrg4eFxw/ZTp06xaNEiVqxYQbNmzQBYsmQJgYGBbNmyhSeffJKvv/6an3/+mW+++QZ3d3fq1KnD+PHjiY6OJiYmBltbW+bNm4efnx9Tp04FIDAwkB9++IH4+HgiIiL+0r6KiIiIiMiDo9RHtvbu3YuXlxePPPIInTp1wmg0ArBjxw4uXrxI8+bNTWUDAgLw8fFh8+bNAGzevJng4GDc3d1NZSIiIjh9+jQ//fSTqcz1dVwrc60OERERERERayjVka2GDRuydOlS/P39yc3NZezYsTRu3Jjdu3dz5MgRbG1tqVy5stkx7u7uHDlyBIAjR46YJVrX9l/bd7syp0+fpqCgAHt7+xviKiwspLCw0PT99OnT99xXERERERF5sJRqstWqVSvTv0NCQmjYsCE1atTgo48+umkS9Fd57733GDt2bKm1LyIiIiIiZV+pTyO8XuXKlXnsscfYt28fHh4eXLhwgby8PLMyR48eNT3j5eHhccPqhNe+36lMpUqVbpnQvfPOO5w6dcr0OXToUEl0T0REREREHiB/q2QrPz+fnJwcPD09eeKJJ7CxsWHDhg2m/VlZWRiNRsLCwgAICwsjIyODY8eOmcqsX7+eSpUqERQUZCpzfR3Xylyr42YqVqxIpUqVzD4iIiIiIiLFUarJ1tChQ0lJSeHgwYP897//5aWXXqJ8+fK89tpruLi4EBkZyeDBg9m4cSM7duzgzTffJCwsjCeffBKAFi1aEBQUxBtvvEF6ejrr1q3j3XffpW/fvlSsWBGA3r17s3//foYPH86ePXuYM2cOH330EYMGDSrNrouIiIiIyH2uVJ/Z+vXXX3nttdf4448/qFatGk8//TRbtmyhWrVqAMTHx1OuXDnatWtHYWEhERERzJkzx3R8+fLlWb16NVFRUYSFheHo6EiXLl0YN26cqYyfnx9ffvklgwYNYsaMGTz88MMsXLhQy76LiIiIiIhVGa5cuXKltIP4uzt9+jQuLi6cOnVKUwpFRO5zDz/8MIcPH+bjGr4E2dlZfNzP58/T/peDVK9enV9//dWKEYqISGkqTm5Q7GmECQkJfPnll6bvw4cPp3Llyjz11FP88ssvxY9WRERERETkPlTsZCsuLs60it/mzZv517/+xfvvv4+bm5uegxIREREREfn/iv3M1qFDh6hZsyYASUlJtGvXjp49e9KoUSPCw8NLOj4REREREZEyqdgjW05OTvzxxx8AfP311zz33HMA2NnZUVBQULLRiYiIiIiIlFHFHtl67rnn6N69O6GhoWRnZ9O6dWsAfvrpJ3x9fUs6PhERERERkTKp2CNb//rXvwgLC+P333/nk08+oWrVqgDs2LGD1157rcQDFBERERERKYuKPbJ1+vRpZs6cSbly5nlaTEwMhw4dKrHAREREREREyrJij2z5+flx/PjxG7afOHECPz+/EglKRERERESkrCt2snWrdyDn5+djV4yXP4qIiIiIiNzPLJ5GOHjwYAAMBgOjR4/GwcHBtK+oqIjU1FTq1KlT4gGKiIiIiIiURRYnW7t27QKujmxlZGRga2tr2mdra8vjjz/O0KFDSz5CERERERGRMsjiZGvjxo0AvPnmm8yYMYNKlSpZLSgREREREZGyrtirES5ZssQacYiIiIiIiNxXip1snT17lokTJ7JhwwaOHTvG5cuXzfbv37+/xIITEREREREpq4qdbHXv3p2UlBTeeOMNPD09MRgM1ohLRERERESkTCt2svXVV1/x5Zdf0qhRI2vEIyIiIiIicl8o9nu2qlSpgqurqzViERERERERuW8UO9kaP348o0eP5ty5c9aIR0RERERE5L5g0TTC0NBQs2ez9u3bh7u7O76+vtjY2JiV3blzZ8lGKCIiIiIiUgZZlGy9+OKLVg5DRERERETk/mJRsjVmzBhrxyEiIiIiInJfKfYzWyIiIiIiInJnxV76vUqVKjd9t5bBYMDOzo6aNWvStWtX3nzzzRIJUEREREREpCwqdrI1evRoJkyYQKtWrWjQoAEAW7duZe3atfTt25cDBw4QFRXFpUuX6NGjR4kHLCIiIiIiUhYUO9n64YcfiI2NpXfv3mbb58+fz9dff80nn3xCSEgIM2fOVLIlIiIiIiIPrGI/s7Vu3TqaN29+w/Znn32WdevWAdC6dWv2799/79GJiIiIiIiUUcVOtlxdXVm1atUN21etWoWrqysAZ8+exdnZ+d6jExERERERKaOKPY1w1KhRREVFsXHjRtMzW9u2bWPNmjXMmzcPgPXr19OkSZOSjVRERERERKQMKXay1aNHD4KCgpg9ezaffvopAP7+/qSkpPDUU08BMGTIkJKNUkREREREpIwpdrIF0KhRIxo1alTSsYiIiIiIiNw3LEq2Tp8+TaVKlUz/vp1r5URERERERB5kFiVbVapUITc3l4ceeojKlSvf9KXGV65cwWAwUFRUVOJBioiIiIiIlDUWJVvffvutaaXBjRs3WjUgERERERGR+4FFydb1KwtqlUEREREREZE7K/Z7tgC+//57Xn/9dZ566ikOHz4MwLJly/jhhx9KNDgREREREZGyqtjJ1ieffEJERAT29vbs3LmTwsJCAE6dOkVcXFyJBygiIiIiIlIWFTvZio2NZd68eSxYsAAbGxvT9kaNGrFz584SDU5ERERERKSsKnaylZWVxTPPPHPDdhcXF/Ly8koiJhERERERkTKv2MmWh4cH+/btu2H7Dz/8wCOPPFIiQYmIiIiIiJR1xU62evTowYABA0hNTcVgMPDbb7+RmJjI0KFDiYqKskaMIiIiIiIiZY5FS79f7+233+by5cs8++yznDt3jmeeeYaKFSsydOhQ3nrrLWvEKCIiIiIiUuZYnGwdOHAAPz8/DAYDI0eOZNiwYezbt4/8/HyCgoJwcnKyZpwiIiIiIiJlisXJ1qOPPkqNGjVo2rQpzZo1o2nTpgQFBVkzNhERERERkTLL4mTr22+/JTk5meTkZFauXMmFCxd45JFHTIlX06ZNcXd3t2asIiIiIiIiZYbFyVZ4eDjh4eEAnD9/nv/+97+m5CshIYGLFy8SEBDATz/9ZK1YRUREREREyoxiL5ABYGdnR7NmzXj66adp2rQpX331FfPnz2fPnj0lHZ+IiIiIiEiZVKxk68KFC2zZsoWNGzeSnJxMamoq3t7ePPPMM8yePZsmTZpYK04REREREZEyxeJkq1mzZqSmpuLn50eTJk3o1asXK1aswNPT05rxiYiIiIiIlEkWJ1vff/89np6eNGvWjPDwcJo0aULVqlWtGZuIiIiIiEiZVc7Sgnl5eXzwwQc4ODgwadIkvLy8CA4Opl+/fnz88cf8/vvv1oxTRERERESkTLF4ZMvR0ZGWLVvSsmVLAM6cOcMPP/zAxo0bef/99+nUqRO1atVi9+7dVgtWRERERESkrLB4ZOvPHB0dcXV1xdXVlSpVqlChQgUyMzNLMjYREREREZEyy+KRrcuXL7N9+3aSk5PZuHEjmzZt4uzZs1SvXp2mTZvyr3/9i6ZNm1ozVhERERERkTLD4mSrcuXKnD17Fg8PD5o2bUp8fDzh4eE8+uij1oxPRERERESkTLI42Zo8eTJNmzblscces2Y8IiIiIiIi9wWLk61evXpZMw4REREREZH7yl0vkCEiIiIiIiK3pmRLRERERETECpRsiYiIiIiIWIFFyVbdunU5efIkAOPGjePcuXNWDUpERERERKSssyjZyszM5OzZswCMHTuW/Px8qwYlIiIiIiJS1lm0GmGdOnV48803efrpp7ly5QpTpkzBycnppmVHjx5dogGKiIiIiIiURRYlW0uXLmXMmDGsXr0ag8HAV199RYUKNx5qMBiUbImIiIiIiGBhsuXv78+HH34IQLly5diwYQMPPfSQVQMTEREREREpyyx+qfE1ly9ftkYcIiIiIiIi95ViJ1sAOTk5TJ8+nczMTACCgoIYMGAAjz76aIkGJyIiIiIiUlYV+z1b69atIygoiK1btxISEkJISAipqanUrl2b9evXWyNGERERERGRMqfYI1tvv/02gwYNYuLEiTdsj46O5rnnniux4ERERERERMqqYo9sZWZmEhkZecP2bt268fPPP5dIUCIiIiIiImVdsZOtatWqkZaWdsP2tLQ0rVAoIiIiIiLy/xV7GmGPHj3o2bMn+/fv56mnngJg06ZNTJo0icGDB5d4gCIiIiIiImVRsZOtUaNG4ezszNSpU3nnnXcA8PLyIiYmhv79+5d4gCIiIiIiImVRsZMtg8HAoEGDGDRoEGfOnAHA2dm5xAMTEREREREpy+7qPVvXKMkSERERERG5uWIvkCEiIiIiIiJ3pmRLRERERETECpRsiYiIiIiIWEGxkq2LFy/y7LPPsnfvXmvFIyIiIiIicl8oVrJlY2PDjz/+aK1YRERERERE7hvFnkb4+uuvs2jRImvEIiIiIiIict8o9tLvly5dYvHixXzzzTc88cQTODo6mu2fNm1aiQUnIiIiIiJSVhV7ZGv37t3UrVsXZ2dnsrOz2bVrl+mTlpZ214FMnDgRg8HAwIEDTdvOnz9P3759qVq1Kk5OTrRr146jR4+aHWc0Gnn++edxcHDgoYceYtiwYVy6dMmsTHJyMnXr1qVixYrUrFmTpUuX3nWcIiIiIiIilij2yNbGjRtLPIht27Yxf/58QkJCzLYPGjSIL7/8kv/85z+4uLjQr18/2rZty6ZNmwAoKiri+eefx8PDg//+97/k5ubSuXNnbGxsiIuLA+DAgQM8//zz9O7dm8TERDZs2ED37t3x9PQkIiKixPsiIiIiIiIC97D0+759+1i3bh0FBQUAXLly5a7qyc/Pp1OnTixYsIAqVaqYtp86dYpFixYxbdo0mjVrxhNPPMGSJUv473//y5YtWwD4+uuv+fnnn1m+fDl16tShVatWjB8/nn/9619cuHABgHnz5vH/2rv3sKrqvP//r81REIFQBBxRURKlPDsqHRTSQtN+WvadLDMrq7FBJ3RK8x61yWFu0tJKs5ypKW2qcTpZV5KaJ7QDUJIUnmg8JDYKKgooKqe9fn847DvSbK8ti83h+biufV3ttT/vtd6L1Y5erLU+KyoqSgsXLlT37t01ZcoU3X777Xr22Wdd3XUAAAAA+EWmw1ZRUZGGDh2qrl276uabb9aRI0ckSZMmTdIf/vAH0w0kJSVp5MiRGjZsWK3l2dnZqqysrLW8W7du6tChgzIyMiRJGRkZ6tGjh8LCwhxjEhMTVVpaqp07dzrG/HTdiYmJjnVcTHl5uUpLS2u9AAAAAMAM02Fr2rRp8vb2Vn5+vvz9/R3L77jjDq1du9bUulauXKmvv/5aqampF3xWUFAgHx8fBQcH11oeFhamgoICx5gfB62az2s+u9SY0tJSx1m5n0pNTVVQUJDjFRkZaWq/AAAAAMB02Prkk080f/58tW/fvtbyK6+8UgcPHnR6PYcOHdIjjzyiN998Uy1atDDbhqVmzZqlkpISx+vQoUPubgkAAABAI2M6bJWVldU6o1XjxIkT8vX1dXo92dnZOnr0qPr27SsvLy95eXlpy5YtWrx4sby8vBQWFqaKigoVFxfXqissLFR4eLgkKTw8/ILZCWve/9KYwMBA+fn5XbQ3X19fBQYG1noBAAAAgBmmw9b111+v119/3fHeZrPJbrdrwYIFSkhIcHo9Q4cOVW5urnJychyv/v37a/z48Y5/9vb21saNGx01eXl5ys/PV1xcnCQpLi5Oubm5Onr0qGPM+vXrFRgYqNjYWMeYH6+jZkzNOgAAAADACqanfl+wYIGGDh2qbdu2qaKiQjNmzNDOnTt14sQJx5TszmjVqpWuvvrqWstatmyp1q1bO5ZPmjRJ06dPV0hIiAIDAzV16lTFxcVp0KBBkqSbbrpJsbGxmjBhghYsWKCCggLNnj1bSUlJjrNskydP1gsvvKAZM2bo/vvv16ZNm/T2228rLS3N7K4DAAAAgNNMn9m6+uqr9d133+m6667T6NGjVVZWpttuu03bt29Xly5d6rS5Z599VqNGjdLYsWM1ePBghYeH6/3333d87unpqdWrV8vT01NxcXG6++67dc8992jevHmOMVFRUUpLS9P69evVq1cvLVy4UK+88grP2AIAAABgKZvh6gOympHS0lIFBQWppKSE+7cAoIlr3769/vOf/+jdjp0Ua2ICp13nzun2g9/rV7/6lX744QcLOwQAuJOZbGD6MkJJOnnypP7+979r9+7dkqTY2Fjdd999CgkJcWV1AAAAANDkmL6McOvWrerUqZMWL16skydP6uTJk1q8eLGioqK0detWK3oEAAAAgEbH9JmtpKQk3XHHHXrppZfk6ekpSaqurtbvfvc7JSUlKTc3t86bBACgvm04dUr7y8udHr+/osLCbgAAjZHpe7b8/PyUk5OjmJiYWsvz8vLUu3dvnT17tk4bbAi4ZwsAmo/Q0FAdLzouuXJHs01q07qNjh07Vud9AQAaBkvv2erbt6927959QdjavXu3evXqZXZ1AAA0KFVVVZIhtX+ovXzb+TpdV364XD/87Yfz9QAAyMmw9e233zr++fe//70eeeQR7d271/G8q8zMTC1dulRPPfWUNV0CAFDPfNv5yq+Tn7vbAAA0Yk6Frd69e8tms+nHVxzOmDHjgnF33XWX7rjjjrrrDgAAAAAaKafC1oEDB6zuAwAAAACaFKfCVseOHa3uAwAAAACaFJceanz48GF99tlnOnr0qOx2e63Pfv/739dJYwAAAADQmJkOW8uXL9dvf/tb+fj4qHXr1rLZbI7PbDYbYQsAAAAA5ELYmjNnjubOnatZs2bJw8PDip4AAAAAoNEznZbOnDmjcePGEbQAAAAA4BJMJ6ZJkybpnXfesaIXAAAAAGgyTF9GmJqaqlGjRmnt2rXq0aOHvL29a32+aNGiOmsOAAAAABorl8LWunXrFBMTI0kXTJABAAAAAHAhbC1cuFCvvvqq7r33XgvaAQAAAICmwfQ9W76+vrr22mut6AUAAAAAmgzTYeuRRx7RkiVLrOgFAAAAAJoM05cRfvnll9q0aZNWr16tq6666oIJMt5///06aw4AAAAAGivTYSs4OFi33XabFb0AAAAAQJNhOmy99tprVvQBAAAAAE2K6bAFAEBzUJJdovLD5U6PP3fknIXdAAAaI9NhKyoq6pLP09q/f/9lNQQAgDtVVlbKwyYd/+i46VoP2/l6AAAkF8JWcnJyrfeVlZXavn271q5dq8cee6yu+gIAwC3sdrvshvTGrX7qHur8pL27j9l196qzstvtFnYHAGhMTIetRx555KLLly5dqm3btl12QwAANATdQz3UN8LT3W0AABox08/Z+jkjRozQe++9V1erAwAAAIBGrc7C1rvvvquQkJC6Wh0AAAAANGqmLyPs06dPrQkyDMNQQUGBjh07phdffLFOmwMAAACAxsp02BozZkyt9x4eHgoNDVV8fLy6detWV30BAAAAQKNmOmw98cQTVvQBAAAAAE1Knd2zBQAAAAD4P06f2fLw8Ljkw4wlyWazqaqq6rKbAgAAAIDGzumwtWrVqp/9LCMjQ4sXL+ZBjgAAAADwX06HrdGjR1+wLC8vT48//rg++ugjjR8/XvPmzavT5gAAAACgsXLpnq3Dhw/rwQcfVI8ePVRVVaWcnBytWLFCHTt2rOv+AAAAAKBRMhW2SkpKNHPmTEVHR2vnzp3auHGjPvroI1199dVW9QcAAAAAjZLTlxEuWLBA8+fPV3h4uP75z39e9LJCAAAAAMB5Toetxx9/XH5+foqOjtaKFSu0YsWKi457//3366w5AAAAAGisnA5b99xzzy9O/Q4AAAAAOM/psLV8+XIL2wAAAACApsWl2QgBAAAAAJdG2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMACbg1bL730knr27KnAwEAFBgYqLi5Oa9ascXx+7tw5JSUlqXXr1goICNDYsWNVWFhYax35+fkaOXKk/P391bZtWz322GOqqqqqNSY9PV19+/aVr6+voqOjtXz58vrYPQAAAADNmFvDVvv27fXUU08pOztb27Zt0w033KDRo0dr586dkqRp06bpo48+0jvvvKMtW7bo8OHDuu222xz11dXVGjlypCoqKvTFF19oxYoVWr58uebOnesYc+DAAY0cOVIJCQnKyclRcnKyHnjgAa1bt67e9xe1derUSc8995y72wAAAAAsYTMMw3B3Ez8WEhKip59+WrfffrtCQ0P11ltv6fbbb5ck7dmzR927d1dGRoYGDRqkNWvWaNSoUTp8+LDCwsIkScuWLdPMmTN17Ngx+fj4aObMmUpLS9OOHTsc2xg3bpyKi4u1du1ap3oqLS1VUFCQSkpKFBgYWPc73Ux16tRJycnJSk5OdncrAODg7++vs2fPKvuhluob4el03ddHqtXvb2Xy8/PTmTNnLOwQAOBOZrJBg7lnq7q6WitXrlRZWZni4uKUnZ2tyspKDRs2zDGmW7du6tChgzIyMiRJGRkZ6tGjhyNoSVJiYqJKS0sdZ8cyMjJqraNmTM06Lqa8vFylpaW1Xmj4Kioq3N0CAAAA4OD2sJWbm6uAgAD5+vpq8uTJWrVqlWJjY1VQUCAfHx8FBwfXGh8WFqaCggJJUkFBQa2gVfN5zWeXGlNaWqqzZ89etKfU1FQFBQU5XpGRkXWxq81OWVmZ7rnnHgUEBCgiIkILFy5UfHz8z57JeuWVVxQcHKyNGzdKknbs2KERI0YoICBAYWFhmjBhgo4fP+4YHx8frylTpig5OVlt2rRRYmKiJGnRokXq0aOHWrZsqcjISP3ud7/T6dOnHXUHDx7ULbfcoiuuuEItW7bUVVddpY8//ti6HwQAAACaJbeHrZiYGOXk5CgrK0sPP/ywJk6cqF27drm1p1mzZqmkpMTxOnTokFv7aawee+wxbdmyRR9++KE++eQTpaen6+uvv77o2AULFujxxx/XJ598oqFDh6q4uFg33HCD+vTpo23btmnt2rUqLCzUb37zm1p1K1askI+Pjz7//HMtW7ZMkuTh4aHFixdr586dWrFihTZt2qQZM2Y4apKSklReXq6tW7cqNzdX8+fPV0BAgHU/CAAAADRLXu5uwMfHR9HR0ZKkfv366auvvtLzzz+vO+64QxUVFSouLq51dquwsFDh4eGSpPDwcH355Ze11lczW+GPx/x0BsPCwkIFBgbKz8/voj35+vrK19e3TvavuTp9+rT+/ve/64033tDQoUMlnQ9G7du3v2DszJkz9Y9//ENbtmzRVVddJUl64YUX1KdPH/3v//6vY9yrr76qyMhIfffdd+ratask6corr9SCBQtqre/HZ846deqklJQUTZ48WS+++KKk8zNYjh07Vj169JAkde7cue52HAAAAPgvt5/Z+im73a7y8nL169dP3t7ejkvKJCkvL0/5+fmKi4uTJMXFxSk3N1dHjx51jFm/fr0CAwMVGxvrGPPjddSMqVkHrLFv3z5VVFRo4MCBjmUhISGKiYmpNW7hwoV6+eWX9dlnnzmCliR988032rx5swICAhyvbt26OdZdo1+/fhdse8OGDRo6dKh+9atfqVWrVpowYYKKioocN6z//ve/V0pKiq699lo98cQT+vbbb+t03wEAAADJzWFr1qxZ2rp1q77//nvl5uZq1qxZSk9P1/jx4xUUFKRJkyZp+vTp2rx5s7Kzs3XfffcpLi5OgwYNkiTddNNNio2N1YQJE/TNN99o3bp1mj17tpKSkhxnpiZPnqz9+/drxowZ2rNnj1588UW9/fbbmjZtmjt3Hf91/fXXq7q6Wm+//Xat5adPn9Ytt9yinJycWq9///vfGjx4sGNcy5Yta9V9//33GjVqlHr27Kn33ntP2dnZWrp0qaT/m0DjgQce0P79+zVhwgTl5uaqf//+WrJkicV7CgAAgObGrZcRHj16VPfcc4+OHDmioKAg9ezZU+vWrdONN94oSXr22Wfl4eGhsWPHqry8XImJiY5LwSTJ09NTq1ev1sMPP6y4uDi1bNlSEydO1Lx58xxjoqKilJaWpmnTpun5559X+/bt9corrzgmU4A1unTpIm9vb2VlZalDhw6SpJMnT+q7777TkCFDHOMGDBigKVOmaPjw4fLy8tKjjz4qSerbt6/ee+89derUSV5ezv9rmp2dLbvdroULF8rD4/zfEn4a5CQpMjJSkydP1uTJkzVr1iy9/PLLmjp16uXsMgAAAFCLW8PW3//+90t+3qJFCy1dutRxZuJiOnbs+IszycXHx2v79u0u9QjXBAQEaNKkSXrsscfUunVrtW3bVn/84x8dAejHrrnmGn388ccaMWKEvLy8lJycrKSkJL388su68847NWPGDIWEhGjv3r1auXKlXnnlFXl6XvzZN9HR0aqsrNSSJUt0yy231Jo4o0ZycrJGjBihrl276uTJk9q8ebO6d+9uyc8BAAAAzVeDu2cLTcfTTz+t66+/XrfccouGDRum66677qL3WEnSddddp7S0NM2ePVtLlixRu3bt9Pnnn6u6ulo33XSTevTooeTkZAUHB180sNXo1auXFi1apPnz5+vqq6/Wm2++qdTU1FpjqqurlZSUpO7du2v48OHq2rVrrTOmAAAAQF2wGYZhuLuJhs7MU6JxafHx8erdu7eee+45d7cCABfl7++vs2fPKvuhluobcfGz6Bfz9ZFq9ftbmfz8/BwT8gAAmh4z2YAzWwAAAABgAcIWAAAAAFjA7Q81RvOSnp7u7hYAAACAesGZLQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwgJe7GwAAoCFatbtSu49XOz1+zzG7hd0AABojwhYAAD9it9slm00pn1aYL7bZztcDACDCFgAAtdjtdskw1HrUH+TdOtLpusqiQypavZCwBQBwIGwBAHAR3q0j5Rse7e42AACNGBNkAAAAAIAFCFsAAAAAYAHCFgAAAABYgLAFAAAAABYgbAEAAACABQhbAAAAAGABwhYAAAAAWICwBQAAAAAWIGwBAAAAgAUIWwAAAABgAbeGrdTUVP36179Wq1at1LZtW40ZM0Z5eXm1xpw7d05JSUlq3bq1AgICNHbsWBUWFtYak5+fr5EjR8rf319t27bVY489pqqqqlpj0tPT1bdvX/n6+io6OlrLly+3evcAAAAANGNuDVtbtmxRUlKSMjMztX79elVWVuqmm25SWVmZY8y0adP00Ucf6Z133tGWLVt0+PBh3XbbbY7Pq6urNXLkSFVUVOiLL77QihUrtHz5cs2dO9cx5sCBAxo5cqQSEhKUk5Oj5ORkPfDAA1q3bl297i8AAACA5sNmGIbh7iZqHDt2TG3bttWWLVs0ePBglZSUKDQ0VG+99ZZuv/12SdKePXvUvXt3ZWRkaNCgQVqzZo1GjRqlw4cPKywsTJK0bNkyzZw5U8eOHZOPj49mzpyptLQ07dixw7GtcePGqbi4WGvXrv3FvkpLSxUUFKSSkhIFBgZas/MAgAbBx8dHlZWVCp/4nHzDo52uKy/Yq4IVyfL29lZFRYWFHQIA3MlMNmhQ92yVlJRIkkJCQiRJ2dnZqqys1LBhwxxjunXrpg4dOigjI0OSlJGRoR49ejiCliQlJiaqtLRUO3fudIz58TpqxtSs46fKy8tVWlpa6wUAAAAAZjSYsGW325WcnKxrr71WV199tSSpoKBAPj4+Cg4OrjU2LCxMBQUFjjE/Dlo1n9d8dqkxpaWlOnv27AW9pKamKigoyPGKjIysk30EAAAA0Hw0mLCVlJSkHTt2aOXKle5uRbNmzVJJSYnjdejQIXe3BAAAAKCR8XJ3A5I0ZcoUrV69Wlu3blX79u0dy8PDw1VRUaHi4uJaZ7cKCwsVHh7uGPPll1/WWl/NbIU/HvPTGQwLCwsVGBgoPz+/C/rx9fWVr69vnewbAAAAgObJrWe2DMPQlClTtGrVKm3atElRUVG1Pu/Xr5+8vb21ceNGx7K8vDzl5+crLi5OkhQXF6fc3FwdPXrUMWb9+vUKDAxUbGysY8yP11EzpmYdAAAAAFDX3HpmKykpSW+99ZY+/PBDtWrVynGPVVBQkPz8/BQUFKRJkyZp+vTpCgkJUWBgoKZOnaq4uDgNGjRIknTTTTcpNjZWEyZM0IIFC1RQUKDZs2crKSnJcXZq8uTJeuGFFzRjxgzdf//92rRpk95++22lpaW5bd8BAAAANG1uPbP10ksvqaSkRPHx8YqIiHC8/vWvfznGPPvssxo1apTGjh2rwYMHKzw8XO+//77jc09PT61evVqenp6Ki4vT3XffrXvuuUfz5s1zjImKilJaWprWr1+vXr16aeHChXrllVeUmJhYr/sLAAAAoPloUM/Zaqh4zhYANB88ZwsAcCmN9jlbAAAAANBUELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAsQNgCAAAAAAsQtgAAAADAAoQtAAAAALAAYQsAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACzg5e4GAACwQkpKijIzM03XVVZWWtANAKA5ImwBAJqclJQUzZ0zR4a7GwEANGuELQBAk5OZmSlDUp8O7dS6pb+p2vS8faqyE9MAAJePsAUAaHKKiopks3loe/5hd7cCAGjG3Bq2tm7dqqefflrZ2dk6cuSIVq1apTFjxjg+NwxDTzzxhF5++WUVFxfr2muv1UsvvaQrr7zSMebEiROaOnWqPvroI3l4eGjs2LF6/vnnFRAQ4Bjz7bffKikpSV999ZVCQ0M1depUzZgxoz53FQBQj8rKymQYdk28YZbCgzuYql22drZKzhRZ1BkAoDlxa9gqKytTr169dP/99+u222674PMFCxZo8eLFWrFihaKiojRnzhwlJiZq165datGihSRp/PjxOnLkiNavX6/Kykrdd999euihh/TWW29JkkpLS3XTTTdp2LBhWrZsmXJzc3X//fcrODhYDz30UL3uLwCgfoUHd1BkaFdTNd6evhZ1AwBobtwatkaMGKERI0Zc9DPDMPTcc89p9uzZGj16tCTp9ddfV1hYmD744AONGzdOu3fv1tq1a/XVV1+pf//+kqQlS5bo5ptv1jPPPKN27drpzTffVEVFhV599VX5+PjoqquuUk5OjhYtWkTYAgAAAGCZBnvP1oEDB1RQUKBhw4Y5lgUFBWngwIHKyMjQuHHjlJGRoeDgYEfQkqRhw4bJw8NDWVlZuvXWW5WRkaHBgwfLx8fHMSYxMVHz58/XyZMndcUVV9TrfgEAmrbKykqNGjXKVM2gQYM0e/ZsizoCALhLgw1bBQUFkqSwsLBay8PCwhyfFRQUqG3btrU+9/LyUkhISK0xUVFRF6yj5rOLha3y8nKVl5c73peWll7m3gAAmpO0tDRT4z/+73gCFwA0LQ02bLlTamqqnnzySXe3AQBohLw8bIqP6eL0+KKyM9qef9ilBzADABq2Bhu2wsPDJUmFhYWKiIhwLC8sLFTv3r0dY44ePVqrrqqqSidOnHDUh4eHq7CwsNaYmvc1Y35q1qxZmj59uuN9aWmpIiMjL2+HAADNQpXd0Ibde03V2GweKipiBkQAaGoabNiKiopSeHi4Nm7c6AhXpaWlysrK0sMPPyxJiouLU3FxsbKzs9WvXz9J0qZNm2S32zVw4EDHmD/+8Y+qrKyUt7e3JGn9+vWKiYn52fu1fH195evLbFQAAPOC/Ftr8vAUp8cXFOdrxaZUlZWVWdgVAMAd3Bq2Tp8+rb17/++vfwcOHFBOTo5CQkLUoUMHJScnKyUlRVdeeaVj6vd27do5nsXVvXt3DR8+XA8++KCWLVumyspKTZkyRePGjVO7du0kSXfddZeefPJJTZo0STNnztSOHTv0/PPP69lnn3XHLgMAmjhvT1/T080DAJomt4atbdu2KSEhwfG+5tK9iRMnavny5ZoxY4bKysr00EMPqbi4WNddd53Wrl3reMaWJL355puaMmWKhg4d6nio8eLFix2fBwUF6ZNPPlFSUpL69eunNm3aaO7cuUz7DgAAAMBSbg1b8fHxMgzjZz+32WyaN2+e5s2b97NjQkJCHA8w/jk9e/bUp59+6nKfAAAAAGCWh7sbAAAAAICmiLAFAAAAABYgbAEAAACABQhbAAAAAGABwhYAAAAAWICwBQAAAAAWIGwBAAAAgAUIWwAAAABgAcIWAAAAAFiAsAUAAAAAFiBsAQAAAIAFCFsAAAAAYAHCFgAAAABYwMvdDQAAcCkpKSnKzMw0VfPdd99Z1A0AAM4jbAEAGqyUlBTNnTNHhrsbAQDABYQtAECDlZmZKUNSnw7t1Lqlv9N1h06WKK/gmHWNAQDgBMIWAKDBKioqks3moe35h03Xenn6qGWLIAu6AgDAOYQtAECDVVZWJsOwa+INsxQe3MFUbcsWQQppFWZRZwAA/DLCFgCgwQsP7qDI0K6mavYX7NS+I7mmt3Wussx0DQAAF0PYAgA0OfsLduq5D38vu7sbAQA0a4QtAECTU1R6RHZJ8yMi1MXH11Tt/xw+rO8qK6xpDADQrBC2AABNVhcfX8W2aGGqJsDTQ6q0qCEAQLNC2AIAoA6dqyzTV99tcHp8YXG+hd0AANyJsAUAQB06fa5EKzanmqrxkLRnzx6NGjXK9PYGDRqk2bNnm64DAFiPsAUAQB3q6u2j/23Xzunx+yrKNfPIEdkrK5WWlmZ6ex//t4bABQAND2ELAIA6FODpYfo+MUkKbdVSvdpHmKopKjuj7fmHlZmZaXp7AADrEbYAAGgAjp0q04bde03X2WweKioqsqAjAMDlImwBANAADO8zXr2irjNVU1CcrxWbUlVWxoOYAaAhImwBANAAhAV3UGRoV3e3AQCoQx7ubgAAAAAAmiLCFgAAAABYgLAFAAAAABYgbAEAAACABQhbAAAAAGABwhYAAAAAWICp3wEATdaGU6e0v7zcVM2xqiqLugEANDeELQBAk1NQfFCStOxEkZs7AQA0Z1xGCABocn44vlceNnd3AQBo7jizBQBo8L458JkKTuY7Pb6w+JDshvTGrX7qHmru74qrdlcq5dMKsy0CAHABwhYAoF6kpKQoMzPTVM2ePXvkIWnt9jdd2mb3UA/1jfA0VbP7eLVL2wIA4KcIWwAAy6WkpGjunDkyXKyfHxGhLj6+To9fcuyYtpwpc3FrAADUDcIWAMBymZmZMiTdNbC32gYGOF23LjdPuwuOqYuPr2JbtHC6ro0Xv94AAO7HbyMAQL1pGxig9lcEOT2+VQvnz2Y1FMeqqrS6pMTp8fsruD8MAJoqwhYAoN7s+KFAR0tPOz3+6CnnxzYUh6qqNKPgiOm67H2bTNcUFjs/aQgAoP4RtgAAlisqKpLN5qENu/e6u5UGycMm7cjP0o78LPO1ksrKuD8NABoiwhYAwHJlZWUyDLsm3jBL4cEdnK775sBnLs9E6C6zr/fRrd29nR6/+5hdd686qyH+LTU1NNTUtvZVlGvmkSMqLy832yYAoB4QtgAA9SY8uIMiQ7s6Pd7Ms7Uaim4uTDcvnZ/Uw8wkIACAho+wBQBAI1dYWKhRo0aZqhk0aJBmz55tUUcAAImwBQBAo1dVVaW0tDRTNR//dzyBCwCsQ9gCAKCR6x4eqsQeMU6PP1p6Wm9l5SgzM9PCrgAAhC0AABq5s5WV5qbUNzEWAOA6whYAAI3c90XF+r4ox1SNzeahoqIiaxoCAEgibAEAGoENp05pv4npzfdXNK+p0If3Ga9eUdc5Pb6gOF8rNqXyfC4AsBhhCwDQYBUUH5Rs0rITnIG5lDCTU+oDAOoHYQsAUG++OfCZqWdnfXf4G8mQguKC5N3G+QcFn/v+nE7ncl8SAMC9CFsAAMuVlZXJQ9La7W+arvWwSSUZJabrWnhJbfxtpusAAKgrhC0AgOXKy8tllzQ/IkJdfHydrlty7Ji2nCnTG7f6qXuoh6ltHjhZrU/zq0x2Kn2eX226BgCAiyFsAQDqTRcfX8W2aOH0+DZe539NdQ/1UN8IT6frMg5V6fZ3KyTDbrpHSbJ5esvTP9ClWgAAahC2AABNzv5iu2TY1XrUH+TdOtJ0vad/oLwC21rQGQCgOSFsAQCaLO/WkfINj67XbZ6/DLHC6fF7jp0/+7a/olyrS8zdm7a/wvntAADqH2ELAIA64OkfKJunt17aVqmXtlWaK7bZtP3cOW0vOOLStguKD7pUBwCwFmELAIA64BXYVu0e+quqz5SaqqssOqSi1Qtd3q6HTfrh+F6X6wEA1iFsAQBQR7wC27p8r9fs6310a3fnnyUmSbuP2XX3qrMqLD6kr77b4HRdYbHzzzoDALiOsAUAcFpKSooyMzNN1xUWFlrQTdPSzeSMiz92/NQRrdicaqrGQ9KePXs0atQo09sbNGiQZs+ebboOAJobwhYAwCkpKSmaO2eOjMtYx4ZTp7S/vNzp8fsrnB/bnA3xb6mpoaFOj99XUa6ZR47IXlmptLQ009v7+L81BC4AuDTCFgDAKZmZmTIk3TWwt9oGBpiqfT87V/knS7TsRJE1zTVzbby8TD2/rEb38FAl9ogxVXO09LTeyspx6QwnADQ3hC0AgClHS0+brikrr5AMKSguSN5tnL8v6dz353Q697RW7a7U7uPVTtedn34dv+RsZaXp4+nK8QeA5oqwBQBwSlFRkWw2D23Y7drMdx42qSTD3HOkJEk2m1I+Nf88KZuntzz9A81vrxn5vqhY3xflmK6z2TxUVMRZSgD4JYQtAIBTysrKZBh2TbxhlsKDO5iqfX3zfB05+b3euNVP3UM9nK5btbtSKZ9WqPWoP8i7daSpbXr6B7o8M2BzMbzPePWKus5UTUFxvlZsSlVZWZlFXQFA00HYAgCYEh7cQZGhXU3V+Pmcv8eru8kZ92ouHfRuHSnf8GhT22xszl/6aO4M3p5j9svaZpgLxxIA4DzCFgAAbuTpHyibp7de2lapl7ZVml+BzaZjVVV13xgA4LIRtgAAcCOvwLZq99BfVX2m1HRtZdEhFa1eqNN21yYE2V+4w3QND0QGAOcRtgAApnxz4DMVnDT3P9ylZ85PpsCsghfnFdj2su4vO1ZVpdUlzk8+UnMm7NNdH+nTXR+Z3p6HxD1bAOAEwhYAwCllZWXykLR2+5uurYBZBS1zqKpKMwqOmK77U9swXe3nZ6qm5oHI5SYeTg0AzRVhCwCaoZSUFNMPpc3Pz5dd0vyICHXx8TVV+z+HD+u7SmYVbEg8bFJBVaV+0+IKl+rPnTunr7/+2lRNmzZt1KGDuZksAaAxI2wBQDOTkpKiuXPmyHCxvouPr2JbtDBVE+DpIVU2j1kF3WH29T66tbvzD4vefcyuu1ed1bEq1y/TLCoqUr9+/UzXHTx4kMAFoNkgbAFAM5OZmSlD0l0De6ttYIDTdety87S74Jg2nDql/SYvIWO2PGsVnTVM3Qu35/j5KeP3V5SbutfrfI35S0Fr2CS98MILWrBggcvrAIDGhLAFAI2UK5cCStLWrVslSUdLT5uqO3HmrCRp2Yki09uENS5r2nibTdvPndN2F+71kk3qcEWQbuvXw+mSo6Wn9VZWjnbt2mV+ewDQSBG2AKARutxLASVpw+69pms8bJL9cjaKOuXqtPE1U8b7hPso8NfmJh+pPF6pkowSlZVXmArsZsM9ADQFhC0AaIRcvRRQkj7N26/t+Ydld2G7dkN641Y/dQ/1MFW3anelSzMR4pddzrTxFQUVOv7RcZdqi8rO6q2sHFM1NpuHNm3apFGjRpmqi42N1bhx40zV1GBSDgDuRNgCgDri6mV9rqi5FLBtYIDaXxFkqtbTw0N2SaNatVJ7bx+n69adKtWBykrtOV4t2cyd3io6y+mwhsTTP1Dy9JaqTV56WMNmk59PgIbE/n9OlxSdKtBXezfq7NmzSktLM7W5j9PS9PTTT5vt0mHt2rUKDQ11ud4Mwh2AH7MZhtFsfgMuXbpUTz/9tAoKCtSrVy8tWbJEAwYM+MW60tJSBQUFqaSkRIGBPOsFaMpcDUx5eXnat3fvZV3W54qe7cPVwsvc3812HjmqsnIXzzLZbJKLvzZsnt5q99Bfmca9gagqPWr68kPp/y5BdFX7K4LULdz54HOmslJf7D3o8vZsUr1/L5lxEWjazGSDZnNm61//+pemT5+uZcuWaeDAgXruueeUmJiovLw8tW3LL37ASpdzxmfQoEGaPXt2vWzzcgOTl4eH7ruuv1r6On+2yFU5+YeVnrdf3/5QYPm2ajEMl56VJfG8rIbmci4/vBw/nCzRDyfNzYAoSbf372H6LG5ZeYVe+2ybquyuXDTrGpuk66+/Xj16OD95SA1X/3vXmLz33nvKycmp12327t1bY8eOrddtAjWazZmtgQMH6te//rVeeOEFSZLdbldkZKSmTp2qxx9//JK1nNkCznNHgLFJ6hIdrZiYmHrbZpsAf3l5mLsnSToftrw8zde54nDxKVVUu/6MJJfPUHl661ecnWrWygv2qmBFcr1v19MmtfB2/lliNbw8POTnY77OFVV2u46fPnNZ64g2+d87SQoJCVHHjh0va7v1YdeuXfpg1QeyG/UXfiXJw+ahMbeOUWxsbL1u1xUEw8bBTDZoFmGroqJC/v7+evfddzVmzBjH8okTJ6q4uFgffvhhrfHl5eUq/9EzZEpKStShQwcdOnSowYStAQMGaO9e8zOJAa6qvpz/sZfk5WGTTTZTNYYMVV3G1Hdmt1llt9f75UbuFHTNOHn4mztT4NGilTxbtbGoIzQG1aeOq2j1M+5uA42Yl81TNpu53weuMgxDVcbl/f6qbzabTR4u/MGvOYiOjtaXX37p7jZUWlqqyMhIFRcXKyjo0r9Hm8VlhMePH1d1dbXCwsJqLQ8LC9OePXsuGJ+amqonn3zyguWRkeYvmwFw3vnQVL9Rxh3bbExKvljp7hYANENVRjX/ab4EwzAu+w+cTVVeXt4vhpv6dOrUKcKWK2bNmqXp06c73tvtdp04cUKtW7eut7/EXEpNmm5IZ9pweTimTQ/HtGniuDY9HNOmiePa9DSkY2oYhk6dOqV27dr94thmEbbatGkjT09PFRYW1lpeWFio8PDwC8b7+vrK19e31rLg4GArW3RJYGCg2/9lQ93imDY9HNOmiePa9HBMmyaOa9PTUI6ps2fYmsUFoT4+PurXr582btzoWGa327Vx40bFxcW5sTMAAAAATVWzOLMlSdOnT9fEiRPVv39/DRgwQM8995zKysp03333ubs1AAAAAE1Qswlbd9xxh44dO6a5c+eqoKBAvXv31tq1ay+YNKMx8PX11RNPPHHBpY5ovDimTQ/HtGniuDY9HNOmiePa9DTWY9ospn4HAAAAgPrWLO7ZAgAAAID6RtgCAAAAAAsQtgAAAADAAoQtAAAAALAAYasR+Mtf/qJrrrlG/v7+Tj9c+d5775XNZqv1Gj58uLWNwhRXjqthGJo7d64iIiLk5+enYcOG6d///re1jcJpJ06c0Pjx4xUYGKjg4GBNmjRJp0+fvmRNfHz8Bd/VyZMn11PHuJilS5eqU6dOatGihQYOHKgvv/zykuPfeecddevWTS1atFCPHj308ccf11OncJaZY7p8+fILvpMtWrSox27xS7Zu3apbbrlF7dq1k81m0wcffPCLNenp6erbt698fX0VHR2t5cuXW94nzDF7XNPT0y/4rtpsNhUUFNRPw04ibDUCFRUV+n//7//p4YcfNlU3fPhwHTlyxPH65z//aVGHcIUrx3XBggVavHixli1bpqysLLVs2VKJiYk6d+6chZ3CWePHj9fOnTu1fv16rV69Wlu3btVDDz30i3UPPvhgre/qggUL6qFbXMy//vUvTZ8+XU888YS+/vpr9erVS4mJiTp69OhFx3/xxRe68847NWnSJG3fvl1jxozRmDFjtGPHjnruHD/H7DGVpMDAwFrfyYMHD9Zjx/glZWVl6tWrl5YuXerU+AMHDmjkyJFKSEhQTk6OkpOT9cADD2jdunUWdwozzB7XGnl5ebW+r23btrWoQxcZaDRee+01IygoyKmxEydONEaPHm1pP6gbzh5Xu91uhIeHG08//bRjWXFxseHr62v885//tLBDOGPXrl2GJOOrr75yLFuzZo1hs9mM//znPz9bN2TIEOORRx6phw7hjAEDBhhJSUmO99XV1Ua7du2M1NTUi47/zW9+Y4wcObLWsoEDBxq//e1vLe0TzjN7TM38roX7STJWrVp1yTEzZswwrrrqqlrL7rjjDiMxMdHCznA5nDmumzdvNiQZJ0+erJeeXMWZrSYsPT1dbdu2VUxMjB5++GEVFRW5uyVchgMHDqigoEDDhg1zLAsKCtLAgQOVkZHhxs4gSRkZGQoODlb//v0dy4YNGyYPDw9lZWVdsvbNN99UmzZtdPXVV2vWrFk6c+aM1e3iIioqKpSdnV3rO+bh4aFhw4b97HcsIyOj1nhJSkxM5DvZQLhyTCXp9OnT6tixoyIjIzV69Gjt3LmzPtqFRfieNm29e/dWRESEbrzxRn3++efubucCXu5uANYYPny4brvtNkVFRWnfvn36n//5H40YMUIZGRny9PR0d3twQc01yGFhYbWWh4WFNbjrk5ujgoKCCy5d8PLyUkhIyCWPz1133aWOHTuqXbt2+vbbbzVz5kzl5eXp/ffft7pl/MTx48dVXV190e/Ynj17LlpTUFDAd7IBc+WYxsTE6NVXX1XPnj1VUlKiZ555Rtdcc4127typ9u3b10fbqGM/9z0tLS3V2bNn5efn56bOcDkiIiK0bNky9e/fX+Xl5XrllVcUHx+vrKws9e3b193tORC23OTxxx/X/PnzLzlm9+7d6tatm0vrHzdunOOfe/TooZ49e6pLly5KT0/X0KFDXVonfpnVxxX1z9lj6qof39PVo0cPRUREaOjQodq3b5+6dOni8noBuCYuLk5xcXGO99dcc426d++uv/71r/rzn//sxs4A/FhMTIxiYmIc76+55hrt27dPzz77rP7xj3+4sbPaCFtu8oc//EH33nvvJcd07ty5zrbXuXNntWnTRnv37iVsWcjK4xoeHi5JKiwsVEREhGN5YWGhevfu7dI68cucPabh4eEX3HBfVVWlEydOOI6dMwYOHChJ2rt3L2GrnrVp00aenp4qLCystbywsPBnj2F4eLip8ahfrhzTn/L29lafPn20d+9eK1pEPfi572lgYCBntZqYAQMG6LPPPnN3G7UQttwkNDRUoaGh9ba9H374QUVFRbX+Jx11z8rjGhUVpfDwcG3cuNERrkpLS5WVlWV6pko4z9ljGhcXp+LiYmVnZ6tfv36SpE2bNslutzsClDNycnIkie+qG/j4+Khfv37auHGjxowZI0my2+3auHGjpkyZctGauLg4bdy4UcnJyY5l69evr3VmBO7jyjH9qerqauXm5urmm2+2sFNYKS4u7oJHMvA9bZpycnIa3u9Pd8/QgV928OBBY/v27caTTz5pBAQEGNu3bze2b99unDp1yjEmJibGeP/99w3DMIxTp04Zjz76qJGRkWEcOHDA2LBhg9G3b1/jyiuvNM6dO+eu3cBPmD2uhmEYTz31lBEcHGx8+OGHxrfffmuMHj3aiIqKMs6ePeuOXcBPDB8+3OjTp4+RlZVlfPbZZ8aVV15p3HnnnY7Pf/jhByMmJsbIysoyDMMw9u7da8ybN8/Ytm2bceDAAePDDz80OnfubAwePNhdu9DsrVy50vD19TWWL19u7Nq1y3jooYeM4OBgo6CgwDAMw5gwYYLx+OOPO8Z//vnnhpeXl/HMM88Yu3fvNp544gnD29vbyM3Nddcu4CfMHtMnn3zSWLdunbFv3z4jOzvbGDdunNGiRQtj586d7toF/MSpU6ccvzMlGYsWLTK2b99uHDx40DAMw3j88ceNCRMmOMbv37/f8Pf3Nx577DFj9+7dxtKlSw1PT09j7dq17toFXITZ4/rss88aH3zwgfHvf//byM3NNR555BHDw8PD2LBhg7t24aIIW43AxIkTDUkXvDZv3uwYI8l47bXXDMMwjDNnzhg33XSTERoaanh7exsdO3Y0HnzwQccvFjQMZo+rYZyf/n3OnDlGWFiY4evrawwdOtTIy8ur/+ZxUUVFRcadd95pBAQEGIGBgcZ9991XKzwfOHCg1jHOz883Bg8ebISEhBi+vr5GdHS08dhjjxklJSVu2gMYhmEsWbLE6NChg+Hj42MMGDDAyMzMdHw2ZMgQY+LEibXGv/3220bXrl0NHx8f46qrrjLS0tLquWP8EjPHNDk52TE2LCzMuPnmm42vv/7aDV3j59RM+f3TV81xnDhxojFkyJALanr37m34+PgYnTt3rvW7FQ2D2eM6f/58o0uXLkaLFi2MkJAQIz4+3ti0aZN7mr8Em2EYRr2dRgMAAACAZoLnbAEAAACABQhbAAAAAGABwhYAAAAAWICwBQAAAAAWIGwBAAAAgAUIWwAAAABgAcIWAAAAAFiAsAUAwEWkp6fLZrOpuLjY6Zo//elP6t27t2U9AQAaF8IWAKDRW7ZsmVq1aqWqqirHstOnT8vb21vx8fG1xtaEqH379l1ynddcc42OHDmioKCgOu01Pj5eycnJdbpOAEDDRNgCADR6CQkJOn36tLZt2+ZY9umnnyo8PFxZWVk6d+6cY/nmzZvVoUMHdenS5ZLr9PHxUXh4uGw2m2V9AwCaNsIWAKDRi4mJUUREhNLT0x3L0tPTNXr0aEVFRSkzM7PW8oSEBNntdqWmpioqKkp+fn7q1auX3n333VrjfnoZ4csvv6zIyEj5+/vr1ltv1aJFixQcHHxBP//4xz/UqVMnBQUFady4cTp16pQk6d5779WWLVv0/PPPy2azyWaz6fvvv9fJkyc1fvx4hYaGys/PT1deeaVee+21Ov85AQDqF2ELANAkJCQkaPPmzY73mzdvVnx8vIYMGeJYfvbsWWVlZSkhIUGpqal6/fXXtWzZMu3cuVPTpk3T3XffrS1btlx0/Z9//rkmT56sRx55RDk5Obrxxhv1l7/85YJx+/bt0wcffKDVq1dr9erV2rJli5566ilJ0vPPP6+4uDg9+OCDOnLkiI4cOaLIyEjNmTNHu3bt0po1a7R792699NJLatOmjQU/JQBAffJydwMAANSFhIQEJScnq6qqSmfPntX27ds1ZMgQVVZWatmyZZKkjIwMlZeXKz4+XrGxsdqwYYPi4uIkSZ07d9Znn32mv/71rxoyZMgF61+yZIlGjBihRx99VJLUtWtXffHFF1q9enWtcXa7XcuXL1erVq0kSRMmTNDGjRv1l7/8RUFBQfLx8ZG/v7/Cw8MdNfn5+erTp4/69+8vSerUqVOd/3wAAPWPM1sAgCYhPj5eZWVl+uqrr/Tpp5+qa9euCg0N1ZAhQxz3baWnp6tz5846ffq0zpw5oxtvvFEBAQGO1+uvv/6zE2fk5eVpwIABtZb99L10PijVBC1JioiI0NGjRy/Z+8MPP6yVK1eqd+/emjFjhr744gsXfgIAgIaGM1sAgCYhOjpa7du31+bNm3Xy5EnH2al27dopMjJSX3zxhTZv3qwbbrhBp0+fliSlpaXpV7/6Va31+Pr6XlYf3t7etd7bbDbZ7fZL1owYMUIHDx7Uxx9/rPXr12vo0KFKSkrSM888c1m9AADcizNbAIAmIyEhQenp6UpPT6815fvgwYO1Zs0affnll0pISFBsbKx8fX2Vn5+v6OjoWq/IyMiLrjsmJkZfffVVrWU/fe8MHx8fVVdXX7A8NDRUEydO1BtvvKHnnntOf/vb30yvGwDQsHBmCwDQZCQkJCgpKUmVlZW17rsaMmSIpkyZooqKCiUkJKhVq1Z69NFHNW3aNNntdl133XUqKSnR559/rsDAQE2cOPGCdU+dOlWDBw/WokWLdMstt2jTpk1as2aN6anhO3XqpKysLH3//fcKCAhQSEiI/vSnP6lfv3666qqrVF5ertWrV6t79+6X/fMAALgXZ7YAAE1GQkKCzp49q+joaIWFhTmWDxkyRKdOnXJMES9Jf/7znzVnzhylpqaqe/fuGj58uNLS0hQVFXXRdV977bVatmyZFi1apF69emnt2rWaNm2aWrRoYarHRx99VJ6enoqNjVVoaKjy8/Pl4+OjWbNmqWfPnho8eLA8PT21cuVK138QAIAGwWYYhuHuJgAAaIwefPBB7dmzR59++qm7WwEANEBcRggAgJOeeeYZ3XjjjWrZsqXWrFmjFStW6MUXX3R3WwCABoozWwAAOOk3v/mN0tPTderUKXXu3FlTp07V5MmT3d0WAKCBImwBAAAAgAWYIAMAAAAALEDYAgAAAAALELYAAAAAwAKELQAAAACwAGELAAAAACxA2AIAAAAACxC2AAAAAMAChC0AAAAAsABhCwAAAAAs8P8D68iBoIMS3iAAAAAASUVORK5CYII=", + "image/png": "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", "text/plain": [ "
    " ] @@ -1241,7 +1244,7 @@ }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 16, "metadata": {}, "outputs": [], "source": [ @@ -1283,7 +1286,7 @@ }, { "cell_type": "code", - "execution_count": 36, + "execution_count": 18, "metadata": {}, "outputs": [ { @@ -1531,13 +1534,13 @@ }, { "ename": "TypeError", - "evalue": "keras_to_hls() got an unexpected keyword argument 'hls_config'", + "evalue": "keras_to_hls() got multiple values for argument 'config'", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[36], line 9\u001b[0m\n\u001b[1;32m 5\u001b[0m hls_config \u001b[38;5;241m=\u001b[39m hls4ml\u001b[38;5;241m.\u001b[39mutils\u001b[38;5;241m.\u001b[39mconfig_from_keras_model(model, granularity\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mname\u001b[39m\u001b[38;5;124m'\u001b[39m, backend\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVitis\u001b[39m\u001b[38;5;124m'\u001b[39m, default_precision\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124map_fixed<16,6>\u001b[39m\u001b[38;5;124m'\u001b[39m )\n\u001b[1;32m 7\u001b[0m plotting\u001b[38;5;241m.\u001b[39mprint_dict(hls_config)\n\u001b[0;32m----> 9\u001b[0m hls_model \u001b[38;5;241m=\u001b[39m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconverters\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mkeras_to_hls\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mhls_config\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mhls_config\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43moutput_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpruned_cnn\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mVitis\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mpart\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mxcu250-figd2104-2L-e\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mio_type\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mio_stream\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 10\u001b[0m hls_model\u001b[38;5;241m.\u001b[39mcompile()\n", - "\u001b[0;31mTypeError\u001b[0m: keras_to_hls() got an unexpected keyword argument 'hls_config'" + "Cell \u001b[0;32mIn[18], line 9\u001b[0m\n\u001b[1;32m 5\u001b[0m hls_config \u001b[38;5;241m=\u001b[39m hls4ml\u001b[38;5;241m.\u001b[39mutils\u001b[38;5;241m.\u001b[39mconfig_from_keras_model(model, granularity\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mname\u001b[39m\u001b[38;5;124m'\u001b[39m, backend\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVitis\u001b[39m\u001b[38;5;124m'\u001b[39m, default_precision\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124map_fixed<16,6>\u001b[39m\u001b[38;5;124m'\u001b[39m )\n\u001b[1;32m 7\u001b[0m plotting\u001b[38;5;241m.\u001b[39mprint_dict(hls_config)\n\u001b[0;32m----> 9\u001b[0m hls_model \u001b[38;5;241m=\u001b[39m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconverters\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mkeras_to_hls\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mconfig\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mhls_config\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43moutput_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpruned_cnn\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mVitis\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mpart\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mxcu250-figd2104-2L-e\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mio_type\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mio_stream\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 10\u001b[0m hls_model\u001b[38;5;241m.\u001b[39mcompile()\n", + "\u001b[0;31mTypeError\u001b[0m: keras_to_hls() got multiple values for argument 'config'" ] } ], @@ -1550,7 +1553,11 @@ "\n", "plotting.print_dict(hls_config)\n", "\n", - "hls_model = hls4ml.converters.keras_to_hls(model, hls_config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream')\n", + "\n", + "hls_model = hls4ml.converters.convert_from_keras_model(\n", + " model, hls_config=hls_config, backend='Vitis', output_dir='model_1/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", + ")\n", + "hls_model = hls4ml.converters.keras_to_hls(model, config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream')\n", "hls_model.compile()" ] }, @@ -1567,98 +1574,18 @@ }, { "cell_type": "code", - "execution_count": 37, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "execution_count": 37, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "hls4ml.utils.plot_model(hls_model, show_shapes=True, show_precision=True, to_file=None)" ] }, { "cell_type": "code", - "execution_count": 38, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Model\n", - "Topology:\n", - "Layer name: input_1, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", - "Layer name: conv_0, layer type: Conv2D, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", - "Layer name: bn_conv_0, layer type: BatchNormalization, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", - "Layer name: conv_1, layer type: Conv2D, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: bn_conv_1, layer type: BatchNormalization, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", - "Layer name: conv_2, layer type: Conv2D, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", - "Layer name: bn_conv_2, layer type: BatchNormalization, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", - "Layer name: flatten, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", - "Layer name: dense_0, layer type: Dense, input shapes: [[None, 96]], output shape: [None, 42]\n", - "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_1, layer type: Dense, input shapes: [[None, 42]], output shape: [None, 64]\n", - "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", - "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", - "Creating HLS model\n", - "WARNING: Layer conv_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", - "Profiling weights (before optimization)\n", - "Profiling weights (final / after optimization)\n" - ] - }, - { - "data": { - "text/plain": [ - "(
    ,\n", - "
    ,\n", - " None,\n", - " None)" - ] - }, - "execution_count": 38, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "hls4ml.model.profiling.numerical(model=model, hls_model=hls_model)" ] @@ -1676,273 +1603,9 @@ }, { "cell_type": "code", - "execution_count": 39, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Model\n", - "Topology:\n", - "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", - "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", - "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", - "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", - "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", - "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", - "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", - "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", - "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", - "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", - "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " input_2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " fused_convbn_0\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " fused_convbn_0_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " conv_act_0\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_0\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " fused_convbn_1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " fused_convbn_1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " conv_act_1\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " fused_convbn_2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " fused_convbn_2_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " conv_act_2\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " flatten_1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " dense_0\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_0_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_dense_0\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " scale: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_act_0\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " dense_1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_dense_1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " scale: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_act_1\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output_dense\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " output_dense_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output_softmax\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n", - " Strategy: Stable\n", - "Interpreting Model\n", - "Topology:\n", - "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", - "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", - "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", - "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", - "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", - "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", - "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", - "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", - "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", - "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", - "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", - "Creating HLS model\n", - "WARNING: Layer fused_convbn_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", - "Writing HLS project\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/constraints.py:365: UserWarning: The `keras.constraints.serialize()` API should only be used for objects of type `keras.constraints.Constraint`. Found an instance of type , which may lead to improper serialization.\n", - " warnings.warn(\n", - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n" - ] - } - ], + "outputs": [], "source": [ "# Then the QKeras model\n", "hls_config_q = hls4ml.utils.config_from_keras_model(qmodel, granularity='name', backend='Vitis')\n", @@ -1975,76 +1638,9 @@ }, { "cell_type": "code", - "execution_count": 40, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Model\n", - "Topology:\n", - "Layer name: input_2, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", - "Layer name: fused_convbn_0, layer type: QConv2DBatchnorm, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", - "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", - "Layer name: fused_convbn_1, layer type: QConv2DBatchnorm, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", - "Layer name: fused_convbn_2, layer type: QConv2DBatchnorm, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", - "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", - "Layer name: flatten_1, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", - "Layer name: dense_0, layer type: QDense, input shapes: [[None, 96]], output shape: [None, 42]\n", - "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_1, layer type: QDense, input shapes: [[None, 42]], output shape: [None, 64]\n", - "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", - "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", - "Creating HLS model\n", - "WARNING: Layer fused_convbn_0 requires \"dataflow\" pipeline style. Switching to \"dataflow\" pipeline style.\n", - "Profiling weights (before optimization)\n", - "Weights for dense_0 are only zeros, ignoring.\n", - "Weights for dense_1 are only zeros, ignoring.\n", - "Profiling weights (final / after optimization)\n", - "Weights for dense_0 are only zeros, ignoring.\n", - "Weights for dense_1 are only zeros, ignoring.\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "execution_count": 40, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "hls4ml.model.profiling.numerical(model=qmodel, hls_model=hls_model_q)\n", "hls4ml.utils.plot_model(hls_model_q, show_shapes=True, show_precision=True, to_file=None)" @@ -2075,7 +1671,7 @@ }, { "cell_type": "code", - "execution_count": 41, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -2085,17 +1681,9 @@ }, { "cell_type": "code", - "execution_count": 42, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "94/94 [==============================] - 0s 2ms/step\n" - ] - } - ], + "outputs": [], "source": [ "y_predict = model.predict(X_test_reduced)\n", "y_predict_hls4ml = hls_model.predict(np.ascontiguousarray(X_test_reduced))" @@ -2103,17 +1691,9 @@ }, { "cell_type": "code", - "execution_count": 43, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "94/94 [==============================] - 1s 4ms/step\n" - ] - } - ], + "outputs": [], "source": [ "y_predict_q = qmodel.predict(X_test_reduced)\n", "y_predict_hls4ml_q = hls_model_q.predict(np.ascontiguousarray(X_test_reduced))" @@ -2121,40 +1701,9 @@ }, { "cell_type": "code", - "execution_count": 44, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy Keras: 0.875\n", - "Accuracy hls4ml: 0.8766666666666667\n", - "Accuracy Keras: 0.832\n", - "Accuracy hls4ml: 0.8313333333333334\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "import plotting\n", "from sklearn.metrics import accuracy_score\n", @@ -2206,13 +1755,13 @@ }, { "cell_type": "code", - "execution_count": 45, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']\n", + "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']\n", "\n", "synth = False # Only if you want to synthesize the models yourself (>1h per model) rather than look at the provided reports.\n", "if synth:\n", @@ -2234,7 +1783,7 @@ }, { "cell_type": "code", - "execution_count": 46, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -2271,44 +1820,9 @@ }, { "cell_type": "code", - "execution_count": 47, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found valid vsynth and synth in pruned_cnn! Fetching numbers\n", - "Found valid vsynth and synth in quantized_pruned_cnn! Fetching numbers\n", - "\n", - " Resource usage and latency: Pruned\n", - "{'bram': 42.0,\n", - " 'bram_rel': 1.56,\n", - " 'dsp': 5386,\n", - " 'dsp_rel': 43.83,\n", - " 'ff': 43435,\n", - " 'ff_rel': 1.26,\n", - " 'latency_clks': 1044,\n", - " 'latency_ii': 1028,\n", - " 'latency_mus': 5.22,\n", - " 'lut': 123948,\n", - " 'lut_rel': 7.17}\n", - "\n", - " Resource usage and latency: Pruned + quantized\n", - "{'bram': 34.0,\n", - " 'bram_rel': 1.26,\n", - " 'dsp': 353,\n", - " 'dsp_rel': 2.87,\n", - " 'ff': 30702,\n", - " 'ff_rel': 0.89,\n", - " 'latency_clks': 1044,\n", - " 'latency_ii': 1028,\n", - " 'latency_mus': 5.22,\n", - " 'lut': 118931,\n", - " 'lut_rel': 6.88}\n" - ] - } - ], + "outputs": [], "source": [ "from pathlib import Path\n", "import pprint\n", @@ -2360,21 +1874,9 @@ }, { "cell_type": "code", - "execution_count": 48, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Adding convolutional block 0 with N=16 filters\n", - "Adding convolutional block 1 with N=16 filters\n", - "Adding convolutional block 2 with N=24 filters\n", - "Adding dense block 0 with N=42 neurons\n", - "Adding dense block 1 with N=64 neurons\n" - ] - } - ], + "outputs": [], "source": [ "filters_per_conv_layer = [16, 16, 24]\n", "neurons_per_dense_layer = [42, 64]\n", @@ -2415,841 +1917,9 @@ }, { "cell_type": "code", - "execution_count": 49, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{\n", - " \"source_quantizers\": [\n", - " {\n", - " \"quantizer_type\": \"quantized_bits\",\n", - " \"bits\": 16,\n", - " \"int_bits\": 6,\n", - " \"is_signed\": true\n", - " }\n", - " ],\n", - " \"conv_0\": {\n", - " \"layer_type\": \"Conv2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"quantized_bits\",\n", - " \"bits\": 16,\n", - " \"int_bits\": 6,\n", - " \"is_signed\": true\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 30,\n", - " 30,\n", - " 16\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 3,\n", - " 3,\n", - " 3,\n", - " 16\n", - " ]\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 388800\n", - " },\n", - " \"bn_conv_0\": {\n", - " \"layer_type\": \"BatchNormalization\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 30,\n", - " 30,\n", - " 16\n", - " ]\n", - " },\n", - " \"gamma_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"beta_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"mean_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"variance_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"internal_divide_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 14400\n", - " },\n", - " \"conv_act_0\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 30,\n", - " 30,\n", - " 16\n", - " ]\n", - " },\n", - " \"operation_count\": 14400\n", - " },\n", - " \"pool_0\": {\n", - " \"layer_type\": \"MaxPooling2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 15,\n", - " 15,\n", - " 16\n", - " ]\n", - " },\n", - " \"operation_count\": 14400\n", - " },\n", - " \"conv_1\": {\n", - " \"layer_type\": \"Conv2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 13,\n", - " 13,\n", - " 16\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 3,\n", - " 3,\n", - " 16,\n", - " 16\n", - " ]\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 389376\n", - " },\n", - " \"bn_conv_1\": {\n", - " \"layer_type\": \"BatchNormalization\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 13,\n", - " 13,\n", - " 16\n", - " ]\n", - " },\n", - " \"gamma_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"beta_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"mean_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"variance_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"internal_divide_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 2704\n", - " },\n", - " \"conv_act_1\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 13,\n", - " 13,\n", - " 16\n", - " ]\n", - " },\n", - " \"operation_count\": 2704\n", - " },\n", - " \"pool_1\": {\n", - " \"layer_type\": \"MaxPooling2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 6,\n", - " 6,\n", - " 16\n", - " ]\n", - " },\n", - " \"operation_count\": 2704\n", - " },\n", - " \"conv_2\": {\n", - " \"layer_type\": \"Conv2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 4,\n", - " 4,\n", - " 24\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 3,\n", - " 3,\n", - " 16,\n", - " 24\n", - " ]\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 55296\n", - " },\n", - " \"bn_conv_2\": {\n", - " \"layer_type\": \"BatchNormalization\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 4,\n", - " 4,\n", - " 24\n", - " ]\n", - " },\n", - " \"gamma_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"beta_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"mean_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"variance_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"internal_divide_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 384\n", - " },\n", - " \"conv_act_2\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 4,\n", - " 4,\n", - " 24\n", - " ]\n", - " },\n", - " \"operation_count\": 384\n", - " },\n", - " \"pool_2\": {\n", - " \"layer_type\": \"MaxPooling2D\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 2,\n", - " 2,\n", - " 24\n", - " ]\n", - " },\n", - " \"operation_count\": 384\n", - " },\n", - " \"flatten\": {\n", - " \"layer_type\": \"Flatten\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 96\n", - " ]\n", - " },\n", - " \"operation_count\": 96\n", - " },\n", - " \"dense_0\": {\n", - " \"layer_type\": \"Dense\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 42\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 96,\n", - " 42\n", - " ]\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 4032\n", - " },\n", - " \"bn_dense_0\": {\n", - " \"layer_type\": \"BatchNormalization\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 42\n", - " ]\n", - " },\n", - " \"gamma_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"beta_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"mean_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"variance_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"internal_divide_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 42\n", - " },\n", - " \"dense_act_0\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 42\n", - " ]\n", - " },\n", - " \"operation_count\": 42\n", - " },\n", - " \"dense_1\": {\n", - " \"layer_type\": \"Dense\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 64\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 42,\n", - " 64\n", - " ]\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 2688\n", - " },\n", - " \"bn_dense_1\": {\n", - " \"layer_type\": \"BatchNormalization\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 64\n", - " ]\n", - " },\n", - " \"gamma_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"beta_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"mean_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"variance_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " },\n", - " \"internal_divide_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"internal_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 64\n", - " },\n", - " \"dense_act_1\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 64\n", - " ]\n", - " },\n", - " \"operation_count\": 64\n", - " },\n", - " \"output_dense\": {\n", - " \"layer_type\": \"Dense\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 10\n", - " ]\n", - " },\n", - " \"weight_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " 64,\n", - " 10\n", - " ]\n", - " },\n", - " \"bias_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": 10\n", - " },\n", - " \"multiplier\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"mul\"\n", - " },\n", - " \"accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"fused_accumulator\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"op_type\": \"add\"\n", - " },\n", - " \"operation_count\": 640\n", - " },\n", - " \"output_softmax\": {\n", - " \"layer_type\": \"Activation\",\n", - " \"input_quantizer_list\": [\n", - " {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16\n", - " }\n", - " ],\n", - " \"output_quantizer\": {\n", - " \"quantizer_type\": \"floating_point\",\n", - " \"bits\": 16,\n", - " \"shape\": [\n", - " -1,\n", - " 10\n", - " ]\n", - " },\n", - " \"operation_count\": 10\n", - " }\n", - "}\n", - "{'bn_conv_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 31680.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'bn_conv_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 5948.8,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'bn_conv_2': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 844.8,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'bn_dense_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 92.4,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'bn_dense_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 140.8,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'conv_0': {'energy': {'inputs': 2921.31,\n", - " 'op_cost': 583200.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 586121.31},\n", - " 'conv_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 584064.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 584064.0},\n", - " 'conv_2': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 82944.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 82944.0},\n", - " 'conv_act_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'conv_act_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'conv_act_2': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'dense_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 6048.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 6048.0},\n", - " 'dense_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 4032.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 4032.0},\n", - " 'dense_act_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'dense_act_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'flatten': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'output_dense': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 960.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 960.0},\n", - " 'output_softmax': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 11.41,\n", - " 'parameters': 0.0},\n", - " 'total': 11.41},\n", - " 'pool_0': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'pool_1': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0},\n", - " 'pool_2': {'energy': {'inputs': 0.0,\n", - " 'op_cost': 0.0,\n", - " 'outputs': 0.0,\n", - " 'parameters': 0.0},\n", - " 'total': 0.0}}\n", - "\n", - "Total energy: 1.264180 uJ\n" - ] - } - ], + "outputs": [], "source": [ "from qkeras import print_qstats\n", "\n", @@ -3303,7 +1973,7 @@ }, { "cell_type": "code", - "execution_count": 50, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -3394,120 +2064,9 @@ }, { "cell_type": "code", - "execution_count": 51, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Trial 2 Complete [00h 00m 01s]\n", - "\n", - "Best val_score So Far: None\n", - "Total elapsed time: 00h 00m 01s\n", - "\n", - "Search: Running Trial #3\n", - "\n", - "Value |Best Value So Far |Hyperparameter\n", - "quantized_bits(...|quantized_bits(...|conv_0_kernel_quantizer\n", - "quantized_bits(...|quantized_bits(...|conv_1_kernel_quantizer\n", - "quantized_bits(...|quantized_bits(...|conv_2_kernel_quantizer\n", - "quantized_bits(...|quantized_bits(...|dense_0_kernel_quantizer\n", - "quantized_bits(...|quantized_bits(...|dense_1_kernel_quantizer\n", - "quantized_bits(...|quantized_bits(...|output_dense_kernel_quantizer\n", - "0.5 |1 |network_filters_conv_0\n", - "quantized_relu(...|quantized_relu(...|conv_act_0_activation_quantizer\n", - "1.5 |1 |network_filters_conv_1\n", - "quantized_relu(...|quantized_relu(...|conv_act_1_activation_quantizer\n", - "1.5 |2 |network_filters_conv_2\n", - "quantized_relu(...|quantized_relu(...|conv_act_2_activation_quantizer\n", - "0.75 |2 |network_filters_dense_0\n", - "quantized_relu(...|quantized_relu(...|dense_act_0_activation_quantizer\n", - "0.75 |2 |network_filters_dense_1\n", - "quantized_relu(...|quantized_relu(...|dense_act_1_activation_quantizer\n", - "quantized_bits(...|quantized_bits(...|output_dense_bias_quantizer\n", - "\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Traceback (most recent call last):\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n", - " self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n", - " results = self.run_trial(trial, *fit_args, **fit_kwargs)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n", - " obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n", - " model = self._try_build(hp)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n", - " model = self._build_hypermodel(hp)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n", - " model = self.hypermodel.build(hp)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n", - " q_model, _ = self.quantize_model(hp)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n", - " q_model = model_quantize(\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n", - " qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n", - " qmodel = model_from_json(json_string, custom_objects=custom_objects)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n", - " return deserialize_from_json(json_string, custom_objects=custom_objects)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n", - " return deserialize(config, custom_objects)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n", - " return serialization_lib.deserialize_keras_object(\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n", - " return deserialize_keras_object(\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n", - " instance = cls.from_config(inner_config)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n", - " inputs, outputs, layers = functional.reconstruct_from_config(\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n", - " if process_node(layer, node_data):\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n", - " output_tensors = layer(input_tensors, **kwargs)\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n", - " raise e.with_traceback(filtered_tb) from None\n", - " File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n", - " outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\n", - "ValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n", - "\n", - "in user code:\n", - "\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n", - " outputs = tf.keras.backend.conv2d(\n", - " File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n", - " x = tf.compat.v1.nn.convolution(\n", - "\n", - " ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n", - "\n", - "\n", - "Call arguments received by layer \"conv_1\" (type QConv2D):\n", - " • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n" - ] - }, - { - "ename": "RuntimeError", - "evalue": "Number of consecutive failures exceeded the limit of 3.\nTraceback (most recent call last):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n results = self.run_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n model = self._try_build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n model = self._build_hypermodel(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n model = self.hypermodel.build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n q_model, _ = self.quantize_model(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n q_model = model_quantize(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n qmodel = model_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n return deserialize_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n return deserialize(config, custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n return serialization_lib.deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n return deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n instance = cls.from_config(inner_config)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n inputs, outputs, layers = functional.reconstruct_from_config(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n if process_node(layer, node_data):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n output_tensors = layer(input_tensors, **kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n raise e.with_traceback(filtered_tb) from None\n File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\nValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n\nin user code:\n\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n outputs = tf.keras.backend.conv2d(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n x = tf.compat.v1.nn.convolution(\n\n ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n\n\nCall arguments received by layer \"conv_1\" (type QConv2D):\n • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mRuntimeError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[51], line 4\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;28;01mfrom\u001b[39;00m \u001b[38;5;21;01mqkeras\u001b[39;00m\u001b[38;5;21;01m.\u001b[39;00m\u001b[38;5;21;01mautoqkeras\u001b[39;00m \u001b[38;5;28;01mimport\u001b[39;00m AutoQKeras\n\u001b[1;32m 3\u001b[0m autoqk \u001b[38;5;241m=\u001b[39m AutoQKeras(baseline_model, output_dir\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mautoq_cnn\u001b[39m\u001b[38;5;124m\"\u001b[39m, metrics\u001b[38;5;241m=\u001b[39m[\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124macc\u001b[39m\u001b[38;5;124m\"\u001b[39m], custom_objects\u001b[38;5;241m=\u001b[39m{}, \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mrun_config)\n\u001b[0;32m----> 4\u001b[0m \u001b[43mautoqk\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mfit\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrain_data\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mvalidation_data\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mval_data\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mepochs\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;241;43m15\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 6\u001b[0m aqmodel \u001b[38;5;241m=\u001b[39m autoqk\u001b[38;5;241m.\u001b[39mget_best_model()\n\u001b[1;32m 7\u001b[0m print_qmodel_summary(aqmodel)\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py:967\u001b[0m, in \u001b[0;36mAutoQKeras.fit\u001b[0;34m(self, *fit_args, **fit_kwargs)\u001b[0m\n\u001b[1;32m 961\u001b[0m callbacks \u001b[38;5;241m=\u001b[39m callbacks \u001b[38;5;241m+\u001b[39m [\n\u001b[1;32m 962\u001b[0m tf\u001b[38;5;241m.\u001b[39mkeras\u001b[38;5;241m.\u001b[39mcallbacks\u001b[38;5;241m.\u001b[39mEarlyStopping(\n\u001b[1;32m 963\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mval_loss\u001b[39m\u001b[38;5;124m\"\u001b[39m, patience\u001b[38;5;241m=\u001b[39m\u001b[38;5;28mmin\u001b[39m(\u001b[38;5;241m20\u001b[39m, epochs\u001b[38;5;241m/\u001b[39m\u001b[38;5;241m/\u001b[39m\u001b[38;5;241m5\u001b[39m))\n\u001b[1;32m 964\u001b[0m ]\n\u001b[1;32m 965\u001b[0m fit_kwargs[\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mcallbacks\u001b[39m\u001b[38;5;124m\"\u001b[39m] \u001b[38;5;241m=\u001b[39m callbacks\n\u001b[0;32m--> 967\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mtuner\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43msearch\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mfit_args\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mfit_kwargs\u001b[49m\u001b[43m)\u001b[49m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py:235\u001b[0m, in \u001b[0;36mBaseTuner.search\u001b[0;34m(self, *fit_args, **fit_kwargs)\u001b[0m\n\u001b[1;32m 233\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mon_trial_begin(trial)\n\u001b[1;32m 234\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_try_run_and_update_trial(trial, \u001b[38;5;241m*\u001b[39mfit_args, \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mfit_kwargs)\n\u001b[0;32m--> 235\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mon_trial_end\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrial\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 236\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mon_search_end()\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py:339\u001b[0m, in \u001b[0;36mBaseTuner.on_trial_end\u001b[0;34m(self, trial)\u001b[0m\n\u001b[1;32m 333\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m \u001b[38;5;21mon_trial_end\u001b[39m(\u001b[38;5;28mself\u001b[39m, trial):\n\u001b[1;32m 334\u001b[0m \u001b[38;5;250m \u001b[39m\u001b[38;5;124;03m\"\"\"Called at the end of a trial.\u001b[39;00m\n\u001b[1;32m 335\u001b[0m \n\u001b[1;32m 336\u001b[0m \u001b[38;5;124;03m Args:\u001b[39;00m\n\u001b[1;32m 337\u001b[0m \u001b[38;5;124;03m trial: A `Trial` instance.\u001b[39;00m\n\u001b[1;32m 338\u001b[0m \u001b[38;5;124;03m \"\"\"\u001b[39;00m\n\u001b[0;32m--> 339\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43moracle\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mend_trial\u001b[49m\u001b[43m(\u001b[49m\u001b[43mtrial\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 340\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39msave()\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:108\u001b[0m, in \u001b[0;36msynchronized..wrapped_func\u001b[0;34m(*args, **kwargs)\u001b[0m\n\u001b[1;32m 106\u001b[0m LOCKS[oracle]\u001b[38;5;241m.\u001b[39macquire()\n\u001b[1;32m 107\u001b[0m THREADS[oracle] \u001b[38;5;241m=\u001b[39m thread_name\n\u001b[0;32m--> 108\u001b[0m ret_val \u001b[38;5;241m=\u001b[39m \u001b[43mfunc\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43margs\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 109\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m need_acquire:\n\u001b[1;32m 110\u001b[0m THREADS[oracle] \u001b[38;5;241m=\u001b[39m \u001b[38;5;28;01mNone\u001b[39;00m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:588\u001b[0m, in \u001b[0;36mOracle.end_trial\u001b[0;34m(self, trial)\u001b[0m\n\u001b[1;32m 586\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_retry(trial):\n\u001b[1;32m 587\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mend_order\u001b[38;5;241m.\u001b[39mappend(trial\u001b[38;5;241m.\u001b[39mtrial_id)\n\u001b[0;32m--> 588\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_check_consecutive_failures\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 590\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_save_trial(trial)\n\u001b[1;32m 591\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39msave()\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/oracle.py:545\u001b[0m, in \u001b[0;36mOracle._check_consecutive_failures\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 543\u001b[0m consecutive_failures \u001b[38;5;241m=\u001b[39m \u001b[38;5;241m0\u001b[39m\n\u001b[1;32m 544\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m consecutive_failures \u001b[38;5;241m==\u001b[39m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmax_consecutive_failed_trials:\n\u001b[0;32m--> 545\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mRuntimeError\u001b[39;00m(\n\u001b[1;32m 546\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mNumber of consecutive failures exceeded the limit \u001b[39m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 547\u001b[0m \u001b[38;5;124mf\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mof \u001b[39m\u001b[38;5;132;01m{\u001b[39;00m\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmax_consecutive_failed_trials\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m.\u001b[39m\u001b[38;5;130;01m\\n\u001b[39;00m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 548\u001b[0m \u001b[38;5;241m+\u001b[39m (trial\u001b[38;5;241m.\u001b[39mmessage \u001b[38;5;129;01mor\u001b[39;00m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[1;32m 549\u001b[0m )\n", - "\u001b[0;31mRuntimeError\u001b[0m: Number of consecutive failures exceeded the limit of 3.\nTraceback (most recent call last):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 274, in _try_run_and_update_trial\n self._run_and_update_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/base_tuner.py\", line 239, in _run_and_update_trial\n results = self.run_trial(trial, *fit_args, **fit_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 314, in run_trial\n obj_value = self._build_and_fit_model(trial, *args, **copied_kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 232, in _build_and_fit_model\n model = self._try_build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 164, in _try_build\n model = self._build_hypermodel(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras_tuner/src/engine/tuner.py\", line 155, in _build_hypermodel\n model = self.hypermodel.build(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 570, in build\n q_model, _ = self.quantize_model(hp)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/autoqkeras/autoqkeras_internal.py\", line 556, in quantize_model\n q_model = model_quantize(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1009, in model_quantize\n qmodel = quantized_model_from_json(json.dumps(jm), custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/utils.py\", line 1099, in quantized_model_from_json\n qmodel = model_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/legacy/model_config.py\", line 125, in model_from_json\n return deserialize_from_json(json_string, custom_objects=custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 299, in deserialize_from_json\n return deserialize(config, custom_objects)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/layers/serialization.py\", line 276, in deserialize\n return serialization_lib.deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 609, in deserialize_keras_object\n return deserialize_keras_object(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/saving/serialization_lib.py\", line 728, in deserialize_keras_object\n instance = cls.from_config(inner_config)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py\", line 3306, in from_config\n inputs, outputs, layers = functional.reconstruct_from_config(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1505, in reconstruct_from_config\n if process_node(layer, node_data):\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/functional.py\", line 1445, in process_node\n output_tensors = layer(input_tensors, **kwargs)\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/utils/traceback_utils.py\", line 70, in error_handler\n raise e.with_traceback(filtered_tb) from None\n File \"/tmp/__autograph_generated_filed8xiuagb.py\", line 28, in tf__call\n outputs = ag__.converted_call(ag__.ld(tf).keras.backend.conv2d, (ag__.ld(inputs), ag__.ld(quantized_kernel)), dict(strides=ag__.ld(self).strides, padding=ag__.ld(self).padding, data_format=ag__.ld(self).data_format, dilation_rate=ag__.ld(self).dilation_rate), fscope)\nValueError: Exception encountered when calling layer \"conv_1\" (type QConv2D).\n\nin user code:\n\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/qkeras/qconvolutional.py\", line 350, in call *\n outputs = tf.keras.backend.conv2d(\n File \"/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/backend.py\", line 6190, in conv2d\n x = tf.compat.v1.nn.convolution(\n\n ValueError: Depth of input (8) is not a multiple of input depth of filter (16) for '{{node conv_1/convolution}} = Conv2D[T=DT_FLOAT, data_format=\"NHWC\", dilations=[1, 1, 1, 1], explicit_paddings=[], padding=\"VALID\", strides=[1, 1, 1, 1], use_cudnn_on_gpu=true](Placeholder, conv_1/add_4)' with input shapes: [?,15,15,8], [3,3,16,24].\n\n\nCall arguments received by layer \"conv_1\" (type QConv2D):\n • inputs=tf.Tensor(shape=(None, 15, 15, 8), dtype=float32)\n" - ] - } - ], + "outputs": [], "source": [ "from qkeras.autoqkeras import AutoQKeras\n", "\n", @@ -3531,21 +2090,9 @@ }, { "cell_type": "code", - "execution_count": 34, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "ename": "NameError", - "evalue": "name 'aqmodel' is not defined", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[34], line 2\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[38;5;66;03m# This model has some remnants from the optimization procedure attached to it, so let's define a new one\u001b[39;00m\n\u001b[0;32m----> 2\u001b[0m \u001b[43maqmodel\u001b[49m\u001b[38;5;241m.\u001b[39msave_weights(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mautoqkeras_cnn_weights.h5\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[1;32m 4\u001b[0m layers \u001b[38;5;241m=\u001b[39m [l \u001b[38;5;28;01mfor\u001b[39;00m l \u001b[38;5;129;01min\u001b[39;00m aqmodel\u001b[38;5;241m.\u001b[39mlayers]\n\u001b[1;32m 5\u001b[0m x \u001b[38;5;241m=\u001b[39m layers[\u001b[38;5;241m0\u001b[39m]\u001b[38;5;241m.\u001b[39moutput\n", - "\u001b[0;31mNameError\u001b[0m: name 'aqmodel' is not defined" - ] - } - ], + "outputs": [], "source": [ "# This model has some remnants from the optimization procedure attached to it, so let's define a new one\n", "aqmodel.save_weights(\"autoqkeras_cnn_weights.h5\")\n", @@ -3660,7 +2207,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.11" } }, "nbformat": 4, From da12890174ab735a35a7597eda421a1cde00018b Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Thu, 10 Oct 2024 14:21:20 +0000 Subject: [PATCH 07/13] fix directory naming in part 2 --- part2_advanced_config.ipynb | 505 ++++++++++++++++++++++++++++++++++-- 1 file changed, 483 insertions(+), 22 deletions(-) diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index 26a65d1f..fe75b094 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -9,9 +9,18 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-10-10 14:19:22.896975: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" + ] + } + ], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -37,7 +46,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -58,9 +67,25 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-10-10 14:19:25.133212: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", + "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5188/5188 [==============================] - 3s 479us/step\n" + ] + } + ], "source": [ "from tensorflow.keras.models import load_model\n", "\n", @@ -87,9 +112,145 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "WARNING: Failed to import handlers from convolution.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from core.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from merge.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from pooling.py: No module named 'torch'.\n", + "WARNING: Failed to import handlers from reshape.py: No module named 'torch'.\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "Model\n", + " Precision: fixed<16,6>\n", + " ReuseFactor: 1\n", + " Strategy: Latency\n", + " BramFactor: 1000000000\n", + " TraceOutput: False\n", + "LayerName\n", + " fc1_input\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " fc1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc1_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu1\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc2_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu2\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " fc3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " fc3_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " relu3\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " output\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " weight: fixed<16,6>\n", + " bias: fixed<16,6>\n", + " accum: fixed<16,6>\n", + " ReuseFactor: 1\n", + " output_linear\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " softmax\n", + " Trace: False\n", + " Precision\n", + " result: fixed<16,6>\n", + " table: fixed<18,8>\n", + " exp_table: fixed<18,8,RND,SAT>\n", + " inv_table: fixed<18,8,RND,SAT>\n", + " ReuseFactor: 1\n", + " TableSize: 1024\n", + " Implementation: stable\n", + " Skip: False\n", + "-----------------------------------\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/opt/conda/lib/python3.10/site-packages/hls4ml/converters/__init__.py:27: UserWarning: WARNING: Pytorch converter is not enabled!\n", + " warnings.warn(\"WARNING: Pytorch converter is not enabled!\", stacklevel=1)\n" + ] + } + ], "source": [ "import hls4ml\n", "\n", @@ -113,15 +274,123 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Profiling weights (before optimization)\n", + "Profiling weights (final / after optimization)\n", + "Profiling activations (before optimization)\n", + "32/32 [==============================] - 0s 679us/step\n", + " fc1\n", + " relu1\n", + " fc2\n", + " relu2\n", + " fc3\n", + " relu3\n", + " output\n", + " softmax\n", + "Profiling activations (final / after optimization)\n", + "Recompiling myproject with tracing\n", + "Writing HLS project\n", + "Done\n", + " fc1\n", + " relu1\n", + " fc2\n", + " relu2\n", + " fc3\n", + " relu3\n", + " output\n", + " softmax\n" + ] + }, + { + "data": { + "text/plain": [ + "(
    ,\n", + "
    ,\n", + "
    ,\n", + "
    )" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "%matplotlib inline\n", "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", - " model, hls_config=config, output_dir='model_1_vivado/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", + " model, hls_config=config, output_dir='model_1/hls4ml_prj_2', part='xcu250-figd2104-2L-e'\n", ")\n", "hls4ml.model.profiling.numerical(model=model, hls_model=hls_model, X=X_test[:1000])" ] @@ -138,9 +407,73 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Profiling weights (before optimization)\n", + "Profiling weights (final / after optimization)\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "config['LayerName']['fc1']['Precision']['weight'] = 'ap_fixed<8,2>'\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", @@ -160,9 +493,28 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 7, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n" + ] + } + ], "source": [ "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", @@ -184,9 +536,23 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing HLS project\n", + "Done\n", + "Recompiling myproject with tracing\n", + "Writing HLS project\n", + "Done\n", + "32/32 [==============================] - 0s 757us/step\n", + "Done taking outputs for Keras model.\n" + ] + } + ], "source": [ "hls_model.compile()\n", "hls4ml_pred, hls4ml_trace = hls_model.trace(X_test[:1000])\n", @@ -204,9 +570,40 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Keras layer 'fc1', first sample:\n", + "[ 2.4065557 -1.5759368 0.42966628 1.3329514 -1.8073033 2.0409462\n", + " -1.0288236 -0.86067957 -2.7469306 -1.9195634 -0.28715396 1.9681759\n", + " -0.7860105 0.33485278 0.6801542 0.25996643 -1.5124966 0.41736743\n", + " 0.852822 0.70618933 0.70922494 0.69491976 0.22450705 0.87326986\n", + " 0.02590176 -0.21695623 -0.20928997 0.6841998 0.4899162 -0.48994485\n", + " -0.3288246 -0.7391661 0.9467939 0.49396753 1.2930557 1.074039\n", + " 0.20361933 0.48355892 -1.6095614 0.54098076 -0.423902 -0.00446129\n", + " -0.99234796 0.6910083 1.2143916 -2.1475887 0.14001632 0.4313128\n", + " 0.17839366 0.66173613 0.5848691 -0.670687 -0.5960309 0.04985377\n", + " 0.69910103 -0.5273016 0.26757202 -1.263504 0.5092593 -1.3980042\n", + " -0.10210076 0.17293777 0.34253305 1.1649376 ]\n", + "hls4ml layer 'fc1', first sample:\n", + "[ 2.36230469 -1.56347656 0.37988281 1.2734375 -1.83886719 2.01171875\n", + " -1.07226562 -0.88769531 -2.77636719 -1.93554688 -0.31347656 1.92285156\n", + " -0.82617188 0.31347656 0.64648438 0.23339844 -1.51660156 0.3671875\n", + " 0.78125 0.66015625 0.64746094 0.64941406 0.19238281 0.79980469\n", + " 0.01757812 -0.27539062 -0.26171875 0.671875 0.45703125 -0.53515625\n", + " -0.375 -0.79589844 0.92871094 0.47851562 1.26660156 1.0078125\n", + " 0.15820312 0.49902344 -1.62988281 0.49902344 -0.46875 -0.04394531\n", + " -0.99902344 0.65332031 1.16015625 -2.1484375 0.07421875 0.43066406\n", + " 0.12792969 0.61425781 0.54589844 -0.72167969 -0.65234375 -0.0078125\n", + " 0.65136719 -0.54394531 0.2265625 -1.328125 0.49023438 -1.41796875\n", + " -0.14550781 0.15332031 0.31152344 1.09765625]\n" + ] + } + ], "source": [ "print(\"Keras layer 'fc1', first sample:\")\n", "print(keras_trace['fc1'][0])\n", @@ -224,9 +621,38 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Keras Accuracy: 0.7595120481927711\n", + "hls4ml Accuracy: 0.7573072289156626\n" + ] + }, + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
    " + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -284,7 +710,42 @@ "cell_type": "code", "execution_count": null, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "-----------------------------------\n", + "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", + "-----------------------------------\n", + "Interpreting Sequential\n", + "Topology:\n", + "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", + "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", + "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", + "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", + "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", + "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", + "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", + "Creating HLS model\n", + "Writing HLS project\n", + "Done\n" + ] + } + ], "source": [ "config = hls4ml.utils.config_from_keras_model(model, granularity='Model', backend='Vitis')\n", "print(\"-----------------------------------\")\n", @@ -315,7 +776,7 @@ "\n", "While the C-Synthesis is running, we can monitor the progress looking at the log file by opening a terminal from the notebook home, and executing:\n", "\n", - "`tail -f model_1_vivado/hls4ml_prj_2/vitis_hls.log`" + "`tail -f model_1/hls4ml_prj_2/vitis_hls.log`" ] }, { From a5790ad722b0bb0e4c1c6b30d2106d33d1c25479 Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Thu, 10 Oct 2024 14:23:05 +0000 Subject: [PATCH 08/13] clear cells in part 2 --- part2_advanced_config.ipynb | 501 ++---------------------------------- 1 file changed, 20 insertions(+), 481 deletions(-) diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index fe75b094..37edf311 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -9,18 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-10 14:19:22.896975: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", - "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -46,7 +37,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -67,25 +58,9 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-10 14:19:25.133212: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", - "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 3s 479us/step\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.models import load_model\n", "\n", @@ -112,145 +87,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING: Failed to import handlers from convolution.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from core.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from merge.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from pooling.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from reshape.py: No module named 'torch'.\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " fc1_input\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " fc1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc2_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " fc3_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<16,6>\n", - " bias: fixed<16,6>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 1\n", - " output_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " softmax\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n", - "-----------------------------------\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/opt/conda/lib/python3.10/site-packages/hls4ml/converters/__init__.py:27: UserWarning: WARNING: Pytorch converter is not enabled!\n", - " warnings.warn(\"WARNING: Pytorch converter is not enabled!\", stacklevel=1)\n" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", @@ -274,117 +113,9 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Profiling weights (before optimization)\n", - "Profiling weights (final / after optimization)\n", - "Profiling activations (before optimization)\n", - "32/32 [==============================] - 0s 679us/step\n", - " fc1\n", - " relu1\n", - " fc2\n", - " relu2\n", - " fc3\n", - " relu3\n", - " output\n", - " softmax\n", - "Profiling activations (final / after optimization)\n", - "Recompiling myproject with tracing\n", - "Writing HLS project\n", - "Done\n", - " fc1\n", - " relu1\n", - " fc2\n", - " relu2\n", - " fc3\n", - " relu3\n", - " output\n", - " softmax\n" - ] - }, - { - "data": { - "text/plain": [ - "(
    ,\n", - "
    ,\n", - "
    ,\n", - "
    )" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "%matplotlib inline\n", "for layer in config['LayerName'].keys():\n", @@ -407,73 +138,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Profiling weights (before optimization)\n", - "Profiling weights (final / after optimization)\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "config['LayerName']['fc1']['Precision']['weight'] = 'ap_fixed<8,2>'\n", "hls_model = hls4ml.converters.convert_from_keras_model(\n", @@ -493,28 +160,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n" - ] - } - ], + "outputs": [], "source": [ "for layer in config['LayerName'].keys():\n", " config['LayerName'][layer]['Trace'] = True\n", @@ -536,23 +184,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Writing HLS project\n", - "Done\n", - "Recompiling myproject with tracing\n", - "Writing HLS project\n", - "Done\n", - "32/32 [==============================] - 0s 757us/step\n", - "Done taking outputs for Keras model.\n" - ] - } - ], + "outputs": [], "source": [ "hls_model.compile()\n", "hls4ml_pred, hls4ml_trace = hls_model.trace(X_test[:1000])\n", @@ -570,40 +204,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Keras layer 'fc1', first sample:\n", - "[ 2.4065557 -1.5759368 0.42966628 1.3329514 -1.8073033 2.0409462\n", - " -1.0288236 -0.86067957 -2.7469306 -1.9195634 -0.28715396 1.9681759\n", - " -0.7860105 0.33485278 0.6801542 0.25996643 -1.5124966 0.41736743\n", - " 0.852822 0.70618933 0.70922494 0.69491976 0.22450705 0.87326986\n", - " 0.02590176 -0.21695623 -0.20928997 0.6841998 0.4899162 -0.48994485\n", - " -0.3288246 -0.7391661 0.9467939 0.49396753 1.2930557 1.074039\n", - " 0.20361933 0.48355892 -1.6095614 0.54098076 -0.423902 -0.00446129\n", - " -0.99234796 0.6910083 1.2143916 -2.1475887 0.14001632 0.4313128\n", - " 0.17839366 0.66173613 0.5848691 -0.670687 -0.5960309 0.04985377\n", - " 0.69910103 -0.5273016 0.26757202 -1.263504 0.5092593 -1.3980042\n", - " -0.10210076 0.17293777 0.34253305 1.1649376 ]\n", - "hls4ml layer 'fc1', first sample:\n", - "[ 2.36230469 -1.56347656 0.37988281 1.2734375 -1.83886719 2.01171875\n", - " -1.07226562 -0.88769531 -2.77636719 -1.93554688 -0.31347656 1.92285156\n", - " -0.82617188 0.31347656 0.64648438 0.23339844 -1.51660156 0.3671875\n", - " 0.78125 0.66015625 0.64746094 0.64941406 0.19238281 0.79980469\n", - " 0.01757812 -0.27539062 -0.26171875 0.671875 0.45703125 -0.53515625\n", - " -0.375 -0.79589844 0.92871094 0.47851562 1.26660156 1.0078125\n", - " 0.15820312 0.49902344 -1.62988281 0.49902344 -0.46875 -0.04394531\n", - " -0.99902344 0.65332031 1.16015625 -2.1484375 0.07421875 0.43066406\n", - " 0.12792969 0.61425781 0.54589844 -0.72167969 -0.65234375 -0.0078125\n", - " 0.65136719 -0.54394531 0.2265625 -1.328125 0.49023438 -1.41796875\n", - " -0.14550781 0.15332031 0.31152344 1.09765625]\n" - ] - } - ], + "outputs": [], "source": [ "print(\"Keras layer 'fc1', first sample:\")\n", "print(keras_trace['fc1'][0])\n", @@ -621,38 +224,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Keras Accuracy: 0.7595120481927711\n", - "hls4ml Accuracy: 0.7573072289156626\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "print(\"Keras Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_keras, axis=1))))\n", "print(\"hls4ml Accuracy: {}\".format(accuracy_score(np.argmax(y_test, axis=1), np.argmax(y_hls, axis=1))))\n", @@ -710,42 +284,7 @@ "cell_type": "code", "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", - "-----------------------------------\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Writing HLS project\n", - "Done\n" - ] - } - ], + "outputs": [], "source": [ "config = hls4ml.utils.config_from_keras_model(model, granularity='Model', backend='Vitis')\n", "print(\"-----------------------------------\")\n", From 787a27eb24b0cd4593fd294c4f33bda300a6e4c5 Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Tue, 10 Dec 2024 11:51:50 -0500 Subject: [PATCH 09/13] update for 1.0.0 --- README.md | 46 +- docker/Dockerfile | 40 - docker/Dockerfile.vitis | 49 - docker/Dockerfile.vivado | 48 - docker/install_vitis.sh | 27 - docker/install_vivado.sh | 33 - docker/settings64.sh | 7 - docker/start-notebook.sh | 25 - docker/vitis_cfg.txt | 33 - docker/vivado_cfg.txt | 30 - environment.yml | 13 +- part1_getting_started.ipynb | 5 +- part2_advanced_config.ipynb | 4 +- part3_compression.ipynb | 1966 +------------------------------ part4.1_HG_quantization.ipynb | 5 +- part4_quantization.ipynb | 5 +- part6_cnns.ipynb | 961 +-------------- part7a_bitstream.ipynb | 254 +--- part7b_deployment.ipynb | 2 +- part7c_validation.ipynb | 2 +- part8_symbolic_regression.ipynb | 65 +- 21 files changed, 102 insertions(+), 3518 deletions(-) delete mode 100644 docker/Dockerfile delete mode 100644 docker/Dockerfile.vitis delete mode 100644 docker/Dockerfile.vivado delete mode 100644 docker/install_vitis.sh delete mode 100644 docker/install_vivado.sh delete mode 100755 docker/settings64.sh delete mode 100755 docker/start-notebook.sh delete mode 100644 docker/vitis_cfg.txt delete mode 100644 docker/vivado_cfg.txt diff --git a/README.md b/README.md index c3696423..45fd2bff 100644 --- a/README.md +++ b/README.md @@ -13,50 +13,18 @@ There are several ways to run the tutorial notebooks: [![Binder](https://mybinder.org/badge_logo.svg)](https://mybinder.org/v2/gh/fastmachinelearning/hls4ml-tutorial/HEAD) ## Conda +Running the tutorials requires AMD Vitis HLS to be installed, see [here](https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vitis.html). +After the installation, the necessary environmental variables can be set using +``` +source /path/to/your/installtion/Xilinx/Vitis_HLS/202X.X/settings64.(c)sh +``` + The Python environment used for the tutorials is specified in the `environment.yml` file. It can be setup like: ```bash conda env create -f environment.yml conda activate hls4ml-tutorial -``` - -## Docker without Vivado -Pull the prebuilt image from the GitHub Container Registry: -```bash -docker pull ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0:latest -``` - -Follow these steps to build a Docker image that can be used locally, or on a JupyterHub instance. -You can build the image (without Vivado): -```bash -docker build https://github.com/fastmachinelearning/hls4ml-tutorial -f docker/Dockerfile -``` -Alternatively, you can clone the repository and build locally: -```bash -git clone https://github.com/fastmachinelearning/hls4ml-tutorial -cd hls4ml-tutorial -docker build -f docker/Dockerfile -t ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0:latest . -``` -Then to start the container: -```bash -docker run -p 8888:8888 ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0:latest -``` -When the container starts, the Jupyter notebook server is started, and the link to open it in your browser is printed. -You can clone the repository inside the container and run the notebooks. - -## Docker with Vivado -Pull the prebuilt image from the GitHub Container Registry: -```bash -docker pull ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0-vivado-2019.2:latest -``` - -To build the image with Vivado, run (Warning: takes a long time and requires a lot of disk space): -```bash -docker build -f docker/Dockerfile.vivado -t ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0-vivado-2019.2:latest . -``` -Then to start the container: -```bash -docker run -p 8888:8888 ghcr.io/fastmachinelearning/hls4ml-tutorial/hls4ml-0.8.0-vivado-2019.2:latest +source /path/to/your/installtion/Xilinx/Vitis_HLS/202X.X/settings64.(c)sh ``` ## Companion material diff --git a/docker/Dockerfile b/docker/Dockerfile deleted file mode 100644 index a4db3be3..00000000 --- a/docker/Dockerfile +++ /dev/null @@ -1,40 +0,0 @@ -FROM jupyter/tensorflow-notebook:tensorflow-2.11.1 - -# Install prequisites -USER root -RUN apt-get update -y && \ - apt-get install --no-install-recommends -y \ - curl \ - libtinfo5 \ - libc6-dev-i386 \ - net-tools \ - graphviz \ - make \ - unzip \ - g++ \ - xvfb \ - git \ - libncursesw5 \ - libc6-dev-i386 && \ - apt-get clean && \ - rm -rf /var/lib/apt/lists/* - -# Install hls4ml and dependencies -USER ${NB_USER} -RUN mamba install -y -c conda-forge \ - graphviz==7.1.0 \ - pydot==1.4.2 \ - tensorflow-datasets==4.8.3 \ - jupyter-book==0.15.1 \ - jupyter_contrib_nbextensions==0.7.0 -RUN pip install \ - hls4ml[profiling]==0.8.0 \ - qkeras==0.9.0 \ - conifer==0.2b0 \ - pysr==0.16.3 -RUN mamba clean --all -f -y && \ - mamba list && \ - fix-permissions "${CONDA_DIR}" && \ - fix-permissions "/home/${NB_USER}" - -LABEL org.opencontainers.image.source https://github.com/fastmachinelearning/hls4ml-tutorial diff --git a/docker/Dockerfile.vitis b/docker/Dockerfile.vitis deleted file mode 100644 index 1f57a928..00000000 --- a/docker/Dockerfile.vitis +++ /dev/null @@ -1,49 +0,0 @@ -FROM jupyter/tensorflow-notebook:tensorflow-2.11.1 - -# Install prequisites -USER root -RUN apt-get update -y && \ - apt-get install --no-install-recommends -y \ - curl \ - libtinfo5 \ - libc6-dev-i386 \ - net-tools \ - graphviz \ - make \ - unzip \ - g++ \ - xvfb \ - git \ - libncursesw5 \ - libc6-dev-i386 && \ - apt-get clean && \ - rm -rf /var/lib/apt/lists/* - -# Install Vitis 2024.1 -COPY docker/vitis_cfg.txt /tmp/vitis_cfg.txt -COPY docker/install_vitis.sh /tmp/install_vitis.sh -RUN source /tmp/install_vitis.sh && rm /tmp/install_vitis.sh - -# Install hls4ml and dependencies -USER ${NB_USER} -RUN mamba install -y -c conda-forge \ - graphviz==7.1.0 \ - pydot==1.4.2 \ - tensorflow-datasets==4.8.3 \ - jupyter-book==0.15.1 \ - jupyter_contrib_nbextensions==0.7.0 -RUN pip install \ - hls4ml[profiling]==0.8.0 \ - qkeras==0.9.0 \ - conifer==0.2b0 \ - pysr==0.16.3 -USER root -RUN mamba clean --all -f -y && \ - mamba list && \ - fix-permissions "${CONDA_DIR}" && \ - fix-permissions "/home/${NB_USER}" - -LABEL org.opencontainers.image.source https://github.com/fastmachinelearning/hls4ml-tutorial - -# ENV XILINX_VIVADO /opt/Xilinx/Vitis_HLS/2024.1 -COPY docker/start-notebook.sh /usr/local/bin/ diff --git a/docker/Dockerfile.vivado b/docker/Dockerfile.vivado deleted file mode 100644 index 166fa098..00000000 --- a/docker/Dockerfile.vivado +++ /dev/null @@ -1,48 +0,0 @@ -FROM jupyter/tensorflow-notebook:tensorflow-2.11.1 - -# Install prequisites -USER root -RUN apt-get update -y && \ - apt-get install --no-install-recommends -y \ - curl \ - libtinfo5 \ - libc6-dev-i386 \ - net-tools \ - graphviz \ - make \ - unzip \ - g++ \ - xvfb \ - git \ - libncursesw5 \ - libc6-dev-i386 && \ - apt-get clean && \ - rm -rf /var/lib/apt/lists/* - -# Install Vivado 2019.2 -COPY docker/vivado_cfg.txt /tmp/vivado_cfg.txt -COPY docker/install_vivado.sh /tmp/install_vivado.sh -RUN source /tmp/install_vivado.sh && rm /tmp/install_vivado.sh - -# Install hls4ml and dependencies -USER ${NB_USER} -RUN mamba install -y -c conda-forge \ - graphviz==7.1.0 \ - pydot==1.4.2 \ - tensorflow-datasets==4.8.3 \ - jupyter-book==0.15.1 \ - jupyter_contrib_nbextensions==0.7.0 -RUN pip install \ - hls4ml[profiling]==0.8.0 \ - qkeras==0.9.0 \ - conifer==0.2b0 \ - pysr==0.16.3 -RUN mamba clean --all -f -y && \ - mamba list && \ - fix-permissions "${CONDA_DIR}" && \ - fix-permissions "/home/${NB_USER}" - -LABEL org.opencontainers.image.source https://github.com/fastmachinelearning/hls4ml-tutorial - -# ENV XILINX_VIVADO /opt/Xilinx/Vivado/2019.2 -COPY docker/start-notebook.sh /usr/local/bin/ diff --git a/docker/install_vitis.sh b/docker/install_vitis.sh deleted file mode 100644 index 61389809..00000000 --- a/docker/install_vitis.sh +++ /dev/null @@ -1,27 +0,0 @@ -#!/usr/bin/env bash - -function main() { - # Install Vivado; to speed up build, download files from local webserver - # See: https://stackoverflow.com/questions/26692708/how-to-add-a-file-to-an-image-in-dockerfile-without-using-the-add-or-copy-direct - cd /tmp/ - curl http://10.164.29.48:8000//FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz?dl=1 -L -o FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz - # curl http://169.228.130.58:8000/vivado.tar.gz -o vivado.tar.gz - tar -xzf FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz --no-same-owner - cd FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023 - ./xsetup -a XilinxEULA,3rdPartyEULA -b Install -c /tmp/vitis_cfg.txt - ./installLibs.sh - cd .. - rm -rf FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023 - rm FPGAs_AdaptiveSoCs_Unified_2024.1_0522_2023.tar.gz - rm /tmp/vitis_cfg.txt - ls -lah /opt/Xilinx/ - - # Install the pynq-z2 board files - curl https://www.dropbox.com/s/meufyrhgcg38i12/pynq-z2.zip?dl=1 -L -o pynq-z2.zip - # curl http://169.228.130.58:8000/pynq-z2.zip -o pynq-z2.zip - unzip pynq-z2.zip - rm pynq-z2.zip - mv pynq-z2 /opt/Xilinx/Vitis_HLS/2024.1/data/boards/board_files/ -} - -main "$@" || exit 1 diff --git a/docker/install_vivado.sh b/docker/install_vivado.sh deleted file mode 100644 index 6990e801..00000000 --- a/docker/install_vivado.sh +++ /dev/null @@ -1,33 +0,0 @@ -#!/usr/bin/env bash - -function main() { - # Install Vivado; to speed up build, download files from local webserver - # See: https://stackoverflow.com/questions/26692708/how-to-add-a-file-to-an-image-in-dockerfile-without-using-the-add-or-copy-direct - cd /tmp/ - curl https://www.dropbox.com/s/wvp50u7h2jroict/vivado.tar.gz?dl=1 -L -o vivado.tar.gz - # curl http://169.228.130.58:8000/vivado.tar.gz -o vivado.tar.gz - tar -xzf vivado.tar.gz - cd Xilinx_Vivado_2019.2_1106_2127 - ./xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install --config /tmp/vivado_cfg.txt - cd .. - rm -r Xilinx_Vivado_2019.2_1106_2127 - rm vivado.tar.gz - rm /tmp/vivado_cfg.txt - - # Install the pynq-z2 board files - curl https://www.dropbox.com/s/meufyrhgcg38i12/pynq-z2.zip?dl=1 -L -o pynq-z2.zip - # curl http://169.228.130.58:8000/pynq-z2.zip -o pynq-z2.zip - unzip pynq-z2.zip - rm pynq-z2.zip - mv pynq-z2 /opt/Xilinx/Vivado/2019.2/data/boards/board_files/ - - # Apply Vivado's y2k22 patch - curl https://www.dropbox.com/s/3gv1jq9074d582o/y2k22_patch.zip?dl=1 -L -o y2k22_patch.zip - # curl http://169.228.130.58:8000/y2k22_patch.zip -o y2k22_patch.zip - mv y2k22_patch.zip /opt/Xilinx - cd /opt/Xilinx - unzip y2k22_patch.zip - python y2k22_patch/patch.py -} - -main "$@" || exit 1 diff --git a/docker/settings64.sh b/docker/settings64.sh deleted file mode 100755 index 3e8de1b4..00000000 --- a/docker/settings64.sh +++ /dev/null @@ -1,7 +0,0 @@ -############################################################## -# Copyright (c) 1986-2024 Xilinx, Inc. All rights reserved. # -############################################################## - -source /opt/Xilinx//Model_Composer/2024.1/.settings64-Model_Composer.sh -source /opt/Xilinx//Vivado/2024.1/.settings64-Vivado.sh -source /opt/Xilinx//Vitis_HLS/2024.1/.settings64-Vitis_HLS.sh diff --git a/docker/start-notebook.sh b/docker/start-notebook.sh deleted file mode 100755 index 11636248..00000000 --- a/docker/start-notebook.sh +++ /dev/null @@ -1,25 +0,0 @@ -#!/bin/bash -# Copyright (c) Jupyter Development Team. -# Distributed under the terms of the Modified BSD License. - -set -e - -# setup vivado 2024.1 -source settings64.sh - -# The Jupyter command to launch -# JupyterLab by default -DOCKER_STACKS_JUPYTER_CMD="${DOCKER_STACKS_JUPYTER_CMD:=lab}" - -if [[ -n "${JUPYTERHUB_API_TOKEN}" ]]; then - echo "WARNING: using start-singleuser.sh instead of start-notebook.sh to start a server associated with JupyterHub." - exec /usr/local/bin/start-singleuser.sh "$@" -fi - -wrapper="" -if [[ "${RESTARTABLE}" == "yes" ]]; then - wrapper="run-one-constantly" -fi - -# shellcheck disable=SC1091,SC2086 -exec /usr/local/bin/start.sh ${wrapper} jupyter ${DOCKER_STACKS_JUPYTER_CMD} ${NOTEBOOK_ARGS} "$@" diff --git a/docker/vitis_cfg.txt b/docker/vitis_cfg.txt deleted file mode 100644 index 02163acf..00000000 --- a/docker/vitis_cfg.txt +++ /dev/null @@ -1,33 +0,0 @@ -#### Vitis Unified Software Platform Install Configuration #### -Edition=Vitis Unified Software Platform - -Product=Vitis - -# Path where AMD FPGAs & Adaptive SoCs software will be installed. -Destination=/opt/Xilinx/ - -# Choose the Products/Devices the you would like to install. -Modules=SoCs:0,Versal AI Core Series ES1:0,Engineering Sample Devices for Custom Platforms:0,Versal ACAP:0,Vitis IP Cache (Enable faster on-boarding for new users):0,Versal HBM Series ES1:0,Versal AI Edge Series ES1:0,Versal Prime Series ES1:0,Versal Premium Series ES1:0,Power Design Manager (PDM):0,UltraScale+:0,Vitis Networking P4:0,Vitis Unified Software Platform:0,Devices for Custom Platforms:0,DocNav:0,Virtex UltraScale+ HBM ES:0 - -# Choose the post install scripts you'd like to run as part of the finalization step. Please note that some of these scripts may require user interaction during runtime. -InstallOptions= - -## Shortcuts and File associations ## -# Choose whether Start menu/Application menu shortcuts will be created or not. -CreateProgramGroupShortcuts=1 - -# Choose the name of the Start menu/Application menu shortcut. This setting will be ignored if you choose NOT to create shortcuts. -ProgramGroupFolder=Xilinx Design Tools - -# Choose whether shortcuts will be created for All users or just the Current user. Shortcuts can be created for all users only if you run the installer as administrator. -CreateShortcutsForAllUsers=0 - -# Choose whether shortcuts will be created on the desktop or not. -CreateDesktopShortcuts=1 - -# Choose whether file associations will be created or not. -CreateFileAssociation=1 - -# Choose whether disk usage will be optimized (reduced) after installation -EnableDiskUsageOptimization=1 - diff --git a/docker/vivado_cfg.txt b/docker/vivado_cfg.txt deleted file mode 100644 index 5c78689a..00000000 --- a/docker/vivado_cfg.txt +++ /dev/null @@ -1,30 +0,0 @@ -#### Vivado HL WebPACK Install Configuration #### -Edition=Vivado HL WebPACK - -# Path where Xilinx software will be installed. -Destination=/opt/Xilinx - -# Choose the Products/Devices the you would like to install. -Modules=Virtex UltraScale+ HBM:0,Zynq UltraScale+ MPSoC:0,DocNav:1,Kintex UltraScale:0,Zynq-7000:1,System Generator for DSP:0,Virtex UltraScale+:1,Kintex UltraScale+:0,Model Composer:0 - -# Choose the post install scripts you'd like to run as part of the finalization step. Please note that some of these scripts may require user interaction during runtime. -InstallOptions= - -## Shortcuts and File associations ## -# Choose whether Start menu/Application menu shortcuts will be created or not. -CreateProgramGroupShortcuts=1 - -# Choose the name of the Start menu/Application menu shortcut. This setting will be ignored if you choose NOT to create shortcuts. -ProgramGroupFolder=Xilinx Design Tools - -# Choose whether shortcuts will be created for All users or just the Current user. Shortcuts can be created for all users only if you run the installer as administrator. -CreateShortcutsForAllUsers=0 - -# Choose whether shortcuts will be created on the desktop or not. -CreateDesktopShortcuts=1 - -# Choose whether file associations will be created or not. -CreateFileAssociation=1 - -# Choose whether disk usage will be optimized (reduced) after installation -EnableDiskUsageOptimization=1 diff --git a/environment.yml b/environment.yml index 406c5a43..c35ce5e6 100644 --- a/environment.yml +++ b/environment.yml @@ -2,21 +2,20 @@ name: hls4ml-tutorial channels: - conda-forge dependencies: - - python=3.10.10 - - jupyter_contrib_nbextensions==0.7.0 - - jupyterhub==3.1.1 - - jupyter-book==0.15.1 + - python=3.10.16 + - jupyter_contrib_nbextensions + - jupyterhub + - jupyter-book - jsonschema-with-format-nongpl - pydot==1.4.2 - graphviz==7.1.0 - scikit-learn==1.2.2 - - tensorflow==2.11.1 + - tensorflow==2.14.0 - tensorflow-datasets==4.8.3 - webcolors - widgetsnbextension==3.6.0 - pip==23.0.1 - pip: - - hls4ml[profiling]==0.8.0 - - qkeras==0.9.0 + - hls4ml[profiling]==1.0.0 - conifer==0.2b0 - pysr==0.16.3 diff --git a/part1_getting_started.ipynb b/part1_getting_started.ipynb index 4ce1126d..fb36146c 100644 --- a/part1_getting_started.ipynb +++ b/part1_getting_started.ipynb @@ -27,7 +27,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -224,7 +224,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -400,7 +399,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part2_advanced_config.ipynb b/part2_advanced_config.ipynb index 37edf311..bd2832c1 100644 --- a/part2_advanced_config.ipynb +++ b/part2_advanced_config.ipynb @@ -25,7 +25,7 @@ "import plotting\n", "import os\n", "\n", - "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -378,7 +378,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part3_compression.ipynb b/part3_compression.ipynb index 36c8f7e9..368882bd 100644 --- a/part3_compression.ipynb +++ b/part3_compression.ipynb @@ -9,18 +9,9 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-01 15:33:16.750194: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", - "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.utils import to_categorical\n", "from sklearn.datasets import fetch_openml\n", @@ -37,7 +28,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -49,7 +40,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -71,7 +62,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -84,18 +75,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-01 15:33:19.249070: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", - "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" - ] - } - ], + "outputs": [], "source": [ "model = Sequential()\n", "model.add(Dense(64, input_shape=(16,), name='fc1', kernel_initializer='lecun_uniform', kernel_regularizer=l1(0.0001)))\n", @@ -118,19 +100,9 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", - "Instructions for updating:\n", - "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow_model_optimization.python.core.sparsity.keras import prune, pruning_callbacks, pruning_schedule\n", "from tensorflow_model_optimization.sparsity.keras import strip_pruning\n", @@ -152,559 +124,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:absl:`lr` is deprecated, please use `learning_rate` instead, or use the legacy optimizer, e.g.,tf.keras.optimizers.legacy.Adam.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`epsilon` argument is deprecated and will be removed, use `min_delta` instead.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:`period` argument is deprecated. Please use `save_freq` to specify the frequency in number of batches seen.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n", - " 1/487 [..............................] - ETA: 14:35 - loss: 1.7625 - accuracy: 0.1592WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0019s vs `on_train_batch_end` time: 0.0042s). Check your callbacks.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Callback method `on_train_batch_end` is slow compared to the batch time (batch time: 0.0019s vs `on_train_batch_end` time: 0.0042s). Check your callbacks.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "475/487 [============================>.] - ETA: 0s - loss: 0.9591 - accuracy: 0.6827\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.83086, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 1: val_loss improved from inf to 0.83086, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 1: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 1: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 3s 3ms/step - loss: 0.9559 - accuracy: 0.6840 - val_loss: 0.8309 - val_accuracy: 0.7328 - lr: 0.0010\n", - "Epoch 2/30\n", - "468/487 [===========================>..] - ETA: 0s - loss: 0.7994 - accuracy: 0.7401\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 2: val_loss improved from 0.83086 to 0.78328, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 2: val_loss improved from 0.83086 to 0.78328, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 2: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 2: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7986 - accuracy: 0.7404 - val_loss: 0.7833 - val_accuracy: 0.7449 - lr: 0.0010\n", - "Epoch 3/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.7686 - accuracy: 0.7491\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 3: val_loss improved from 0.78328 to 0.76620, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 3: val_loss improved from 0.78328 to 0.76620, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 3: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 3: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7683 - accuracy: 0.7492 - val_loss: 0.7662 - val_accuracy: 0.7497 - lr: 0.0010\n", - "Epoch 4/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.7529 - accuracy: 0.7530\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 4: val_loss improved from 0.76620 to 0.75067, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 4: val_loss improved from 0.76620 to 0.75067, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 4: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 4: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7529 - accuracy: 0.7530 - val_loss: 0.7507 - val_accuracy: 0.7537 - lr: 0.0010\n", - "Epoch 5/30\n", - "484/487 [============================>.] - ETA: 0s - loss: 0.7767 - accuracy: 0.7406\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 5: val_loss improved from 0.75067 to 0.74539, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 5: val_loss improved from 0.75067 to 0.74539, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 5: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 5: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7765 - accuracy: 0.7407 - val_loss: 0.7454 - val_accuracy: 0.7502 - lr: 0.0010\n", - "Epoch 6/30\n", - "478/487 [============================>.] - ETA: 0s - loss: 0.7353 - accuracy: 0.7519\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 6: val_loss improved from 0.74539 to 0.73481, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 6: val_loss improved from 0.74539 to 0.73481, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 6: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 6: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7353 - accuracy: 0.7519 - val_loss: 0.7348 - val_accuracy: 0.7527 - lr: 0.0010\n", - "Epoch 7/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.7274 - accuracy: 0.7538\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 7: val_loss improved from 0.73481 to 0.72871, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 7: val_loss improved from 0.73481 to 0.72871, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 7: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 7: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7271 - accuracy: 0.7539 - val_loss: 0.7287 - val_accuracy: 0.7541 - lr: 0.0010\n", - "Epoch 8/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.7221 - accuracy: 0.7551\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 8: val_loss improved from 0.72871 to 0.72423, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 8: val_loss improved from 0.72871 to 0.72423, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 8: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 8: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7219 - accuracy: 0.7552 - val_loss: 0.7242 - val_accuracy: 0.7551 - lr: 0.0010\n", - "Epoch 9/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.7177 - accuracy: 0.7562\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 9: val_loss improved from 0.72423 to 0.72103, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 9: val_loss improved from 0.72423 to 0.72103, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 9: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 9: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7176 - accuracy: 0.7562 - val_loss: 0.7210 - val_accuracy: 0.7558 - lr: 0.0010\n", - "Epoch 10/30\n", - "470/487 [===========================>..] - ETA: 0s - loss: 0.7140 - accuracy: 0.7570\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 10: val_loss improved from 0.72103 to 0.71718, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 10: val_loss improved from 0.72103 to 0.71718, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 10: saving model to model_2/KERAS_check_model_epoch10.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 1s 3ms/step - loss: 0.7143 - accuracy: 0.7569 - val_loss: 0.7172 - val_accuracy: 0.7570 - lr: 0.0010\n", - "Epoch 11/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.7116 - accuracy: 0.7572\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 11: val_loss improved from 0.71718 to 0.71467, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 11: val_loss improved from 0.71718 to 0.71467, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 11: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 11: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.7115 - accuracy: 0.7573 - val_loss: 0.7147 - val_accuracy: 0.7574 - lr: 0.0010\n", - "Epoch 12/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.7087 - accuracy: 0.7578\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 12: val_loss improved from 0.71467 to 0.71339, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 12: val_loss improved from 0.71467 to 0.71339, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 12: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 12: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7088 - accuracy: 0.7578 - val_loss: 0.7134 - val_accuracy: 0.7570 - lr: 0.0010\n", - "Epoch 13/30\n", - "472/487 [============================>.] - ETA: 0s - loss: 0.7065 - accuracy: 0.7585\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 13: val_loss improved from 0.71339 to 0.70977, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 13: val_loss improved from 0.71339 to 0.70977, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 13: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 13: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7062 - accuracy: 0.7586 - val_loss: 0.7098 - val_accuracy: 0.7578 - lr: 0.0010\n", - "Epoch 14/30\n", - "486/487 [============================>.] - ETA: 0s - loss: 0.7042 - accuracy: 0.7591\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 14: val_loss improved from 0.70977 to 0.70828, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 14: val_loss improved from 0.70977 to 0.70828, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 14: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 14: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7042 - accuracy: 0.7591 - val_loss: 0.7083 - val_accuracy: 0.7586 - lr: 0.0010\n", - "Epoch 15/30\n", - "476/487 [============================>.] - ETA: 0s - loss: 0.7026 - accuracy: 0.7595\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 15: val_loss improved from 0.70828 to 0.70600, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 15: val_loss improved from 0.70828 to 0.70600, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 15: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 15: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 3ms/step - loss: 0.7022 - accuracy: 0.7596 - val_loss: 0.7060 - val_accuracy: 0.7589 - lr: 0.0010\n", - "Epoch 16/30\n", - "481/487 [============================>.] - ETA: 0s - loss: 0.7003 - accuracy: 0.7602\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 16: val_loss improved from 0.70600 to 0.70526, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 16: val_loss improved from 0.70600 to 0.70526, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 16: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 16: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.7003 - accuracy: 0.7603 - val_loss: 0.7053 - val_accuracy: 0.7591 - lr: 0.0010\n", - "Epoch 17/30\n", - "474/487 [============================>.] - ETA: 0s - loss: 0.6989 - accuracy: 0.7605\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 17: val_loss improved from 0.70526 to 0.70468, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 17: val_loss improved from 0.70526 to 0.70468, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 17: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 17: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6990 - accuracy: 0.7604 - val_loss: 0.7047 - val_accuracy: 0.7594 - lr: 0.0010\n", - "Epoch 18/30\n", - "469/487 [===========================>..] - ETA: 0s - loss: 0.6971 - accuracy: 0.7612\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 18: val_loss improved from 0.70468 to 0.70302, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 18: val_loss improved from 0.70468 to 0.70302, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 18: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 18: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 3s 6ms/step - loss: 0.6977 - accuracy: 0.7610 - val_loss: 0.7030 - val_accuracy: 0.7600 - lr: 0.0010\n", - "Epoch 19/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.6970 - accuracy: 0.7611\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 19: val_loss improved from 0.70302 to 0.70147, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 19: val_loss improved from 0.70302 to 0.70147, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 19: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 19: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6965 - accuracy: 0.7612 - val_loss: 0.7015 - val_accuracy: 0.7600 - lr: 0.0010\n", - "Epoch 20/30\n", - "471/487 [============================>.] - ETA: 0s - loss: 0.6955 - accuracy: 0.7616\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 20: val_loss improved from 0.70147 to 0.70062, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 20: val_loss improved from 0.70147 to 0.70062, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 20: saving model to model_2/KERAS_check_model_epoch20.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6953 - accuracy: 0.7616 - val_loss: 0.7006 - val_accuracy: 0.7605 - lr: 0.0010\n", - "Epoch 21/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.6944 - accuracy: 0.7619\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 21: val_loss improved from 0.70062 to 0.70001, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 21: val_loss improved from 0.70062 to 0.70001, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 21: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 21: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6944 - accuracy: 0.7618 - val_loss: 0.7000 - val_accuracy: 0.7606 - lr: 0.0010\n", - "Epoch 22/30\n", - "472/487 [============================>.] - ETA: 0s - loss: 0.6939 - accuracy: 0.7619\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 22: val_loss improved from 0.70001 to 0.69859, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 22: val_loss improved from 0.70001 to 0.69859, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 22: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 22: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6936 - accuracy: 0.7620 - val_loss: 0.6986 - val_accuracy: 0.7612 - lr: 0.0010\n", - "Epoch 23/30\n", - "475/487 [============================>.] - ETA: 0s - loss: 0.6931 - accuracy: 0.7621\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 23: val_loss did not improve from 0.69859\n", - "\n", - "Epoch 23: val_loss did not improve from 0.69859\n", - "\n", - "Epoch 23: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 23: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6928 - accuracy: 0.7622 - val_loss: 0.6986 - val_accuracy: 0.7609 - lr: 0.0010\n", - "Epoch 24/30\n", - "485/487 [============================>.] - ETA: 0s - loss: 0.6920 - accuracy: 0.7626\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 24: val_loss improved from 0.69859 to 0.69731, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 24: val_loss improved from 0.69859 to 0.69731, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 24: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 24: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6921 - accuracy: 0.7625 - val_loss: 0.6973 - val_accuracy: 0.7616 - lr: 0.0010\n", - "Epoch 25/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.6915 - accuracy: 0.7625\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 25: val_loss did not improve from 0.69731\n", - "\n", - "Epoch 25: val_loss did not improve from 0.69731\n", - "\n", - "Epoch 25: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 25: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6914 - accuracy: 0.7625 - val_loss: 0.6973 - val_accuracy: 0.7612 - lr: 0.0010\n", - "Epoch 26/30\n", - "487/487 [==============================] - ETA: 0s - loss: 0.6907 - accuracy: 0.7629\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 26: val_loss improved from 0.69731 to 0.69698, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 26: val_loss improved from 0.69731 to 0.69698, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 26: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 26: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 5ms/step - loss: 0.6907 - accuracy: 0.7629 - val_loss: 0.6970 - val_accuracy: 0.7613 - lr: 0.0010\n", - "Epoch 27/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.6902 - accuracy: 0.7630\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 27: val_loss improved from 0.69698 to 0.69554, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 27: val_loss improved from 0.69698 to 0.69554, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 27: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 27: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6901 - accuracy: 0.7631 - val_loss: 0.6955 - val_accuracy: 0.7616 - lr: 0.0010\n", - "Epoch 28/30\n", - "483/487 [============================>.] - ETA: 0s - loss: 0.6897 - accuracy: 0.7631\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 28: val_loss improved from 0.69554 to 0.69541, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 28: val_loss improved from 0.69554 to 0.69541, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 28: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 28: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6897 - accuracy: 0.7630 - val_loss: 0.6954 - val_accuracy: 0.7616 - lr: 0.0010\n", - "Epoch 29/30\n", - "477/487 [============================>.] - ETA: 0s - loss: 0.6890 - accuracy: 0.7635\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 29: val_loss improved from 0.69541 to 0.69460, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 29: val_loss improved from 0.69541 to 0.69460, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 29: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 29: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6893 - accuracy: 0.7633 - val_loss: 0.6946 - val_accuracy: 0.7623 - lr: 0.0010\n", - "Epoch 30/30\n", - "480/487 [============================>.] - ETA: 0s - loss: 0.6890 - accuracy: 0.7630\n", - "***callbacks***\n", - "saving losses to model_2/losses.log\n", - "\n", - "Epoch 30: val_loss improved from 0.69460 to 0.69456, saving model to model_2/KERAS_check_best_model.h5\n", - "\n", - "Epoch 30: val_loss improved from 0.69460 to 0.69456, saving model to model_2/KERAS_check_best_model_weights.h5\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_last.h5\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_last_weights.h5\n", - "\n", - "Epoch 30: saving model to model_2/KERAS_check_model_epoch30.h5\n", - "\n", - "***callbacks end***\n", - "\n", - "487/487 [==============================] - 2s 4ms/step - loss: 0.6888 - accuracy: 0.7631 - val_loss: 0.6946 - val_accuracy: 0.7622 - lr: 0.0010\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "if train:\n", @@ -748,27 +170,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "% of zeros = 0.75\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "w = model.layers[0].weights[0].numpy()\n", "h, b = np.histogram(w, bins=100)\n", @@ -789,40 +193,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "5188/5188 [==============================] - 3s 607us/step\n", - "5188/5188 [==============================] - 3s 567us/step\n", - "Accuracy unpruned: 0.7600481927710844\n", - "Accuracy pruned: 0.7610060240963855\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "import plotting\n", "import matplotlib.pyplot as plt\n", @@ -852,7 +225,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -868,534 +240,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING: Failed to import handlers from convolution.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from core.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from merge.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from pooling.py: No module named 'torch'.\n", - "WARNING: Failed to import handlers from reshape.py: No module named 'torch'.\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "{'Model': {'Precision': 'fixed<16,6>', 'ReuseFactor': 1, 'Strategy': 'Latency', 'BramFactor': 1000000000, 'TraceOutput': False}}\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: Dense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: Dense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "Writing HLS project\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/opt/conda/lib/python3.10/site-packages/hls4ml/converters/__init__.py:27: UserWarning: WARNING: Pytorch converter is not enabled!\n", - " warnings.warn(\"WARNING: Pytorch converter is not enabled!\", stacklevel=1)\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n", - "\n", - "****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2024.1 (64-bit)\n", - " **** SW Build 5069499 on May 21 2024\n", - " **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024\n", - " **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024\n", - " **** Start of session at: Tue Oct 1 15:34:36 2024\n", - " ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.\n", - " ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.\n", - "\n", - "source /opt/Xilinx/Vitis_HLS/2024.1/scripts/vitis_hls/hls.tcl -notrace\n", - "INFO: [HLS 200-10] For user 'x-jschulte' on host 'jupyter-jschulte' (Linux_x86_64 version 4.18.0-425.3.1.el8.x86_64) on Tue Oct 01 15:34:38 UTC 2024\n", - "INFO: [HLS 200-10] In directory '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj'\n", - "WARNING: [HLS 200-2053] The vitis_hls executable is deprecated. Consider using vitis-run --mode hls --tcl\n", - "Sourcing Tcl script 'build_prj.tcl'\n", - "INFO: [HLS 200-1510] Running: open_project myproject_prj \n", - "INFO: [HLS 200-10] Creating and opening project '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj'.\n", - "INFO: [HLS 200-1510] Running: set_top myproject \n", - "INFO: [HLS 200-1510] Running: add_files firmware/myproject.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding design file 'firmware/myproject.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb myproject_test.cpp -cflags -std=c++0x \n", - "INFO: [HLS 200-10] Adding test bench file 'myproject_test.cpp' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb firmware/weights \n", - "INFO: [HLS 200-10] Adding test bench file 'firmware/weights' to the project\n", - "INFO: [HLS 200-1510] Running: add_files -tb tb_data \n", - "INFO: [HLS 200-10] Adding test bench file 'tb_data' to the project\n", - "INFO: [HLS 200-1510] Running: open_solution solution1 \n", - "INFO: [HLS 200-10] Creating and opening solution '/home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1'.\n", - "INFO: [HLS 200-1505] Using default flow_target 'vivado'\n", - "Resolution: For help on HLS 200-1505 see docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1448-hls-guidance&resourceid=200-1505.html\n", - "INFO: [HLS 200-1510] Running: config_array_partition -maximum_size 4096 \n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '-maximum_size'.\n", - "ERROR: [HLS 200-101] config_array_partition: Unknown option '4096'.\n", - "SYNTAX \n", - " config_array_partition [OPTIONS]\n", - " -auto_partition_threshold *** DEPRECATED***\n", - " -auto_promotion_threshold *** DEPRECATED***\n", - " -complete_threshold \n", - " -throughput_driven \n", - "\n", - "SEE ALSO\n", - " INI: syn.array_partition.complete_threshold syn.array_partition.throughput_driven\n", - " docs.xilinx.com/access/sources/dita/topic?Doc_Version=2024.1%20English&url=ug1399-vitis-hls&resourceid=vyw1583260160301.html\n", - "\n", - "INFO: [HLS 200-1510] Running: config_compile -name_max_length 80 \n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: set_part xcu250-figd2104-2L-e \n", - "INFO: [HLS 200-1611] Setting target device to 'xcu250-figd2104-2L-e'\n", - "INFO: [XFORM 203-1161] The maximum of name length is set to 80.\n", - "INFO: [HLS 200-1510] Running: config_schedule -enable_dsp_full_reg=false \n", - "INFO: [HLS 200-1510] Running: create_clock -period 5 -name default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.\n", - "INFO: [HLS 200-1510] Running: set_clock_uncertainty 12.5% default \n", - "INFO: [SYN 201-201] Setting up clock 'default' with an uncertainty of 0.625ns.\n", - "***** C/RTL SYNTHESIS *****\n", - "INFO: [HLS 200-1510] Running: csynth_design \n", - "INFO: [HLS 200-111] Finished File checks and directory preparation: CPU user time: 0.07 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.09 seconds; current allocated memory: 254.863 MB.\n", - "INFO: [HLS 200-10] Analyzing design file 'firmware/myproject.cpp' ... \n", - "WARNING: [HLS 207-5292] unused parameter 'keep' (firmware/nnet_utils/nnet_helpers.h:285:99)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:11:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:12:36)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:13:44)\n", - "WARNING: [HLS 207-5292] unused parameter 'data' (firmware/nnet_utils/nnet_code_gen.h:21:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'buffer' (firmware/nnet_utils/nnet_code_gen.h:22:24)\n", - "WARNING: [HLS 207-5292] unused parameter 'partition' (firmware/nnet_utils/nnet_code_gen.h:23:32)\n", - "INFO: [HLS 200-111] Finished Source Code Analysis and Preprocessing: CPU user time: 9.24 seconds. CPU system time: 0.84 seconds. Elapsed time: 10.71 seconds; current allocated memory: 259.691 MB.\n", - "INFO: [HLS 200-777] Using interface defaults for 'Vivado' flow target.\n", - "INFO: [HLS 200-1995] There were 8,041 instructions in the design after the 'Compile/Link' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "WARNING: [HLS 200-1995] There were 235,993 instructions in the design after the 'Unroll/Inline' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 69,395 instructions in the design after the 'Unroll/Inline (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 68,869 instructions in the design after the 'Unroll/Inline (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 68,404 instructions in the design after the 'Unroll/Inline (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 21,842 instructions in the design after the 'Array/Struct (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,478 instructions in the design after the 'Array/Struct (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,478 instructions in the design after the 'Array/Struct (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,510 instructions in the design after the 'Array/Struct (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,462 instructions in the design after the 'Array/Struct (step 5)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,432 instructions in the design after the 'Performance (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,240 instructions in the design after the 'Performance (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,046 instructions in the design after the 'Performance (step 3)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,046 instructions in the design after the 'Performance (step 4)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,052 instructions in the design after the 'HW Transforms (step 1)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 200-1995] There were 6,059 instructions in the design after the 'HW Transforms (step 2)' phase of compilation. See the Design Size Report for more details: /home/x-jschulte/hls4ml-tutorial/model_2/hls4ml_prj/myproject_prj/solution1/syn/report/csynth_design_size.rpt\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'nnet::product::mult, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::product(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:42:27)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:41:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:49:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:57:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::dense, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:65:2)\n", - "INFO: [HLS 214-131] Inlining function 'void nnet::softmax, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' into 'myproject(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/myproject.cpp:67:5)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_266_3' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:266:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_252_2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:252:23)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_243_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:243:23)\n", - "INFO: [HLS 214-291] Loop 'Result' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:64:5)\n", - "INFO: [HLS 214-291] Loop 'Accum1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:54:5)\n", - "INFO: [HLS 214-291] Loop 'Accum2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:56:9)\n", - "INFO: [HLS 214-291] Loop 'ResetAccum' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:48:5)\n", - "INFO: [HLS 214-291] Loop 'Product1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:37:5)\n", - "INFO: [HLS 214-291] Loop 'Product2' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_dense_latency.h:40:9)\n", - "INFO: [HLS 214-291] Loop 'VITIS_LOOP_43_1' is marked as complete unroll implied by the pipeline pragma (firmware/nnet_utils/nnet_activation.h:43:22)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_266_3' (firmware/nnet_utils/nnet_activation.h:266:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_252_2' (firmware/nnet_utils/nnet_activation.h:252:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_243_1' (firmware/nnet_utils/nnet_activation.h:243:23) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' completely with a factor of 5 (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' completely with a factor of 5 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config10>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config7>' completely with a factor of 32 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' completely with a factor of 32 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'VITIS_LOOP_43_1' (firmware/nnet_utils/nnet_activation.h:43:22) in function 'nnet::relu, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, relu_config4>' completely with a factor of 64 (firmware/nnet_utils/nnet_activation.h:39:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Result' (firmware/nnet_utils/nnet_dense_latency.h:64:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum1' (firmware/nnet_utils/nnet_dense_latency.h:54:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Accum2' (firmware/nnet_utils/nnet_dense_latency.h:56:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'ResetAccum' (firmware/nnet_utils/nnet_dense_latency.h:48:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product1' (firmware/nnet_utils/nnet_dense_latency.h:37:5) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 16 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-186] Unrolling loop 'Product2' (firmware/nnet_utils/nnet_dense_latency.h:40:9) in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' completely with a factor of 64 (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(config5::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config5::weight_t*, config5::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(config8::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config8::weight_t*, config8::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(config11::accum_t)' into 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config11::weight_t*, config11::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:15:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 2, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 4, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 1, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_max >::operator()(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>) (.62)' into 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 2, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 4, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 1, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'nnet::Op_add >::operator()(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>, ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>) (.33.42)' into 'ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> nnet::reduce, 5, nnet::Op_add > >(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0> const*, nnet::Op_add >)' (firmware/nnet_utils/nnet_common.h:36:0)\n", - "INFO: [HLS 214-178] Inlining function 'ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> nnet::reduce, 5, nnet::Op_max > >(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> const*, nnet::Op_max >)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-178] Inlining function 'unsigned int nnet::softmax_idx_from_real_val, softmax_config13>(ap_fixed<18, 8, (ap_q_mode)0, (ap_o_mode)0, 0>)' into 'void nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*)' (firmware/nnet_utils/nnet_activation.h:216:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b11': Complete partitioning on dimension 1. (firmware/weights/b11.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b8': Complete partitioning on dimension 1. (firmware/weights/b8.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b5': Complete partitioning on dimension 1. (firmware/weights/b5.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'b2': Complete partitioning on dimension 1. (firmware/weights/b2.h:12:0)\n", - "INFO: [HLS 214-248] Applying array_partition to 'mult': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_dense_latency.h:17:32)\n", - "INFO: [HLS 214-248] Applying array_partition to 'exp_res': Complete partitioning on dimension 1. (firmware/nnet_utils/nnet_activation.h:249:36)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer2_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:39:11)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer4_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:43:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer5_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:47:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer7_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:51:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer8_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:55:14)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer10_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:59:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer11_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:63:15)\n", - "INFO: [HLS 214-248] Applying array_partition to 'layer13_out': Complete partitioning on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-248] Applying array_reshape to 'fc1_input': Complete reshaping on dimension 1. (firmware/myproject.cpp:9:0)\n", - "INFO: [HLS 214-364] Automatically inlining function 'std::enable_if, ap_uint<1> >::value), ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0> >::type nnet::cast, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(config2::accum_t)' to improve effectiveness of pipeline pragma in function 'void nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>(ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>*, config2::weight_t*, config2::bias_t*)' (firmware/nnet_utils/nnet_dense_latency.h:66:21)\n", - "INFO: [HLS 200-111] Finished Compiling Optimization and Transform: CPU user time: 63.38 seconds. CPU system time: 0.68 seconds. Elapsed time: 70.32 seconds; current allocated memory: 275.297 MB.\n", - "INFO: [HLS 200-111] Finished Checking Pragmas: CPU user time: 0 seconds. CPU system time: 0.01 seconds. Elapsed time: 0 seconds; current allocated memory: 275.297 MB.\n", - "INFO: [HLS 200-10] Starting code transformations ...\n", - "INFO: [HLS 200-111] Finished Standard Transforms: CPU user time: 0.19 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 283.762 MB.\n", - "INFO: [HLS 200-10] Checking synthesizability ...\n", - "INFO: [HLS 200-111] Finished Checking Synthesizability: CPU user time: 0.21 seconds. CPU system time: 0 seconds. Elapsed time: 0.22 seconds; current allocated memory: 289.352 MB.\n", - "INFO: [XFORM 203-602] Inlining function 'nnet::reduce, 5, nnet::Op_add > >' into 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>' (firmware/nnet_utils/nnet_activation.h:262) automatically.\n", - "INFO: [XFORM 203-401] Performing if-conversion on hyperblock to (firmware/nnet_utils/nnet_activation.h:270:1) in function 'nnet::softmax_stable, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, softmax_config13>'... converting 3 basic blocks.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config8>' (firmware/nnet_utils/nnet_mult.h:33:11)...255 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config5>' (firmware/nnet_utils/nnet_mult.h:33:11)...509 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config2>' (firmware/nnet_utils/nnet_mult.h:33:11)...235 expression(s) balanced.\n", - "INFO: [XFORM 203-11] Balancing expressions in function 'nnet::dense_latency, ap_fixed<16, 6, (ap_q_mode)5, (ap_o_mode)3, 0>, config11>' (firmware/nnet_utils/nnet_dense_latency.h:33:1)...40 expression(s) balanced.\n", - "INFO: [HLS 200-111] Finished Loop, function and other optimizations: CPU user time: 0.61 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.63 seconds; current allocated memory: 325.742 MB.\n", - "INFO: [HLS 200-111] Finished Architecture Synthesis: CPU user time: 0.72 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.74 seconds; current allocated memory: 419.734 MB.\n", - "INFO: [HLS 200-10] Starting hardware synthesis ...\n", - "INFO: [HLS 200-10] Synthesizing 'myproject' ...\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>' to 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>' to 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "WARNING: [SYN 201-103] Legalizing function name 'softmax_stable,softmax_config13>' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config2>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.09 seconds. CPU system time: 0.03 seconds. Elapsed time: 1.13 seconds; current allocated memory: 429.500 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.47 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.49 seconds; current allocated memory: 451.191 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config4>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.19 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.2 seconds; current allocated memory: 451.191 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.04 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.04 seconds; current allocated memory: 451.191 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 1.93 seconds. CPU system time: 0.01 seconds. Elapsed time: 1.95 seconds; current allocated memory: 454.234 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 1.48 seconds. CPU system time: 0.02 seconds. Elapsed time: 1.51 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config7>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.24 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.25 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.02 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 2, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.87 seconds. CPU system time: 0 seconds. Elapsed time: 0.88 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.43 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.44 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'relu, ap_fixed<16, 6, 5, 3, 0>, relu_config10>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.14 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.14 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0 seconds. Elapsed time: 0.03 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 1, function 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config11>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.18 seconds. CPU system time: 0 seconds. Elapsed time: 0.19 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Starting global binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.03 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.03 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'softmax_stable,softmax_config13>'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 5, function 'softmax_stable,softmax_config13>'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.1 seconds. CPU system time: 0 seconds. Elapsed time: 0.09 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.02 seconds. CPU system time: 0 seconds. Elapsed time: 0.02 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-42] -- Implementing module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SCHED 204-11] Starting scheduling ...\n", - "INFO: [SCHED 204-61] Pipelining function 'myproject'.\n", - "INFO: [HLS 200-1470] Pipelining result : Target II = NA, Final II = 1, Depth = 13, function 'myproject'\n", - "INFO: [SCHED 204-11] Finished scheduling.\n", - "INFO: [HLS 200-111] Finished Scheduling: CPU user time: 0.12 seconds. CPU system time: 0 seconds. Elapsed time: 0.13 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [BIND 205-100] Starting micro-architecture generation ...\n", - "INFO: [BIND 205-101] Performing variable lifetime analysis.\n", - "INFO: [BIND 205-101] Exploring resource sharing.\n", - "INFO: [BIND 205-101] Binding ...\n", - "INFO: [BIND 205-100] Finished micro-architecture generation.\n", - "INFO: [HLS 200-111] Finished Binding: CPU user time: 0.07 seconds. CPU system time: 0 seconds. Elapsed time: 0.07 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 36 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 44 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 19 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 24 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 7 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 10 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 15 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 12 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.3 seconds; current allocated memory: 524.391 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.47 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.52 seconds; current allocated memory: 532.887 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config5>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s' is 12510 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 72 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 48 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 23 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 10 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 7 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 12 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 39 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 26 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 66 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 43 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.49 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.51 seconds; current allocated memory: 560.016 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.84 seconds. CPU system time: 0.06 seconds. Elapsed time: 0.97 seconds; current allocated memory: 609.875 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' pipeline 'dense_latency, ap_fixed<16, 6, 5, 3, 0>, config8>' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-104] Estimated max fanout for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s' is 6282 from HDL expression: ((1'b0 == ap_block_pp0_stage0) & (ap_enable_reg_pp0_iter0 == 1'b1) & (1'b1 == ap_CS_fsm_pp0_stage0))\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 36 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 26 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 15 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 9 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5ns_21_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_5s_21_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6ns_22_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_6s_22_1_1': 4 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7ns_23_1_1': 7 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8ns_24_1_1': 8 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_8s_24_1_1': 11 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9ns_25_1_1': 16 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 17 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.29 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.32 seconds; current allocated memory: 612.387 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.4 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.44 seconds; current allocated memory: 640.633 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10ns_26_1_1': 3 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_10s_26_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11ns_26_1_1': 13 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_11s_26_1_1': 6 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12ns_26_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_12s_26_1_1': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_13ns_26_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_7s_23_1_1': 1 instance(s).\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_16s_9s_25_1_1': 2 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.11 seconds. CPU system time: 0 seconds. Elapsed time: 0.12 seconds; current allocated memory: 650.402 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' due to the length limit 80\n", - "INFO: [SYN 201-210] Renamed object name 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_ROM_AUTO_1R' to 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' due to the length limit 80\n", - "INFO: [RTGEN 206-100] Generating core module 'mul_18s_17ns_26_1_0': 5 instance(s).\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s'.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_exp_table_ROM_bkb' using auto ROMs.\n", - "INFO: [RTMG 210-279] Implementing memory 'myproject_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_invert_table_Rcud' using auto ROMs.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.11 seconds. CPU system time: 0.01 seconds. Elapsed time: 0.12 seconds; current allocated memory: 655.074 MB.\n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [HLS 200-10] -- Generating RTL for module 'myproject' \n", - "INFO: [HLS 200-10] ----------------------------------------------------------------\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/fc1_input' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_0' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_1' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_2' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_3' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on port 'myproject/layer13_out_4' to 'ap_vld'.\n", - "INFO: [RTGEN 206-500] Setting interface mode on function 'myproject' to 'ap_ctrl_hs'.\n", - "INFO: [HLS 200-1030] Apply Unified Pipeline Control on module 'myproject' pipeline 'myproject' pipeline type 'function pipeline'\n", - "INFO: [RTGEN 206-100] Finished creating RTL model for 'myproject'.\n", - "INFO: [HLS 200-111] Finished Creating RTL model: CPU user time: 0.26 seconds. CPU system time: 0.02 seconds. Elapsed time: 0.28 seconds; current allocated memory: 672.930 MB.\n", - "INFO: [HLS 200-111] Finished Generating all RTL models: CPU user time: 0.39 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.43 seconds; current allocated memory: 678.094 MB.\n", - "INFO: [HLS 200-111] Finished Updating report files: CPU user time: 0.88 seconds. CPU system time: 0.03 seconds. Elapsed time: 0.91 seconds; current allocated memory: 704.215 MB.\n", - "INFO: [VHDL 208-304] Generating VHDL RTL for myproject.\n", - "INFO: [VLOG 209-307] Generating Verilog RTL for myproject.\n", - "INFO: [HLS 200-789] **** Estimated Fmax: 239.69 MHz\n", - "INFO: [HLS 200-2161] Finished Command csynth_design Elapsed time: 00:01:35; Allocated memory: 449.352 MB.\n", - "***** C/RTL SYNTHESIS COMPLETED IN 0h1m35s *****\n", - "INFO: [HLS 200-112] Total CPU user time: 89.48 seconds. Total CPU system time: 2.19 seconds. Total elapsed time: 98.85 seconds; peak allocated memory: 704.215 MB.\n", - "Vivado synthesis report not found.\n", - "Cosim report not found.\n", - "Timing report not found.\n" - ] - }, - { - "data": { - "text/plain": [ - "{'CSynthesisReport': {'TargetClockPeriod': '5.00',\n", - " 'EstimatedClockPeriod': '4.172',\n", - " 'BestLatency': '12',\n", - " 'WorstLatency': '12',\n", - " 'IntervalMin': '1',\n", - " 'IntervalMax': '1',\n", - " 'BRAM_18K': '8',\n", - " 'DSP': '813',\n", - " 'FF': '5258',\n", - " 'LUT': '34698',\n", - " 'URAM': '0',\n", - " 'AvailableBRAM_18K': '5376',\n", - " 'AvailableDSP': '12288',\n", - " 'AvailableFF': '3456000',\n", - " 'AvailableLUT': '1728000',\n", - " 'AvailableURAM': '1280'}}" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ "import hls4ml\n", "\n", @@ -1418,379 +265,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_2/hls4ml_prj//myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Tue Oct 1 15:36:13 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.172 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 12| 12| 60.000 ns| 60.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_223 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_319 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_347 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 10| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 813| 2218| 34652| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3040| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 813| 5258| 34698| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 26| ~0| 8| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 6| ~0| 2| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |call_ret7_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_319 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 38| 0| 1012| 0|\n", - " |call_ret1_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 216| 0| 6897| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 373| 1185| 14339| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 181| 641| 7396| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_291 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 912| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2166| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_223 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1140| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_347 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 813| 2218| 34652| 0|\n", - " +-----------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp131 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp194 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp251 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 10| 5| 6|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_162_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_257_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2122 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2127 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2132 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2137 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2142 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2147 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2152 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2157 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2162 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2167 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2072 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2172 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2177 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2182 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2117 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2077 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2082 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2087 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2092 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2097 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2102 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2107 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2112 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2067 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2192 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2197 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2202 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2207 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2187 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1402 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1407 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1412 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1417 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1422 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1427 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1432 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1437 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1442 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1447 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1352 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1452 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1457 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1462 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1467 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1472 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1477 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1482 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1487 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1492 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1497 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1357 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1502 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1507 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1512 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1517 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1522 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1527 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1362 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1367 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1397 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1372 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1377 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1382 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1387 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1392 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1347 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1687 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1692 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1697 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1702 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1707 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1712 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1717 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1722 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1727 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1732 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1637 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1737 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1742 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1747 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1752 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1757 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1762 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1767 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1772 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1777 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1782 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1642 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1787 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_1792 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_1797 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_1802 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_1807 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_1812 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_1817 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_1822 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_1827 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_1832 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1647 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_1837 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_1842 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_1847 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1652 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_1682 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1657 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1662 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1667 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1672 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1677 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1632 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_1972 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_1977 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_1982 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_1987 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_1992 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_1997 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2002 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2007 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2012 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2017 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_1922 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2022 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2027 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2032 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2037 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2042 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2047 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2052 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2057 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2062 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_1967 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_1927 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_1932 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_1937 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_1942 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_1947 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_1952 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_1957 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_1962 | 16| 0| 16| 0|\n", - " |layer7_out_reg_1917 | 16| 0| 16| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3040| 0| 3040| 0|\n", - " +-----------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_2/hls4ml_prj/')" ] @@ -1804,408 +281,9 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 1 solution(s) in model_1/hls4ml_prj/myproject_prj.\n", - "Reports for solution \"solution1\":\n", - "\n", - "C simulation report not found.\n", - "SYNTHESIS REPORT:\n", - "================================================================\n", - "== Vitis HLS Report for 'myproject'\n", - "================================================================\n", - "* Date: Tue Oct 1 15:19:59 2024\n", - "\n", - "* Version: 2024.1 (Build 5069499 on May 21 2024)\n", - "* Project: myproject_prj\n", - "* Solution: solution1 (Vivado IP Flow Target)\n", - "* Product family: virtexuplus\n", - "* Target device: xcu250-figd2104-2L-e\n", - "\n", - "\n", - "================================================================\n", - "== Performance Estimates\n", - "================================================================\n", - "+ Timing: \n", - " * Summary: \n", - " +--------+---------+----------+------------+\n", - " | Clock | Target | Estimated| Uncertainty|\n", - " +--------+---------+----------+------------+\n", - " |ap_clk | 5.00 ns| 4.371 ns| 0.62 ns|\n", - " +--------+---------+----------+------------+\n", - "\n", - "+ Latency: \n", - " * Summary: \n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | min | max | min | max | min | max | Type |\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - " | 14| 14| 70.000 ns| 70.000 ns| 1| 1| yes|\n", - " +---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " + Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " | | | Latency (cycles) | Latency (absolute) | Interval | Pipeline|\n", - " | Instance | Module | min | max | min | max | min | max | Type |\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0 ns| 0 ns| 1| 1| yes|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 1| 1| 5.000 ns| 5.000 ns| 1| 1| yes|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 4| 4| 20.000 ns| 20.000 ns| 1| 1| yes|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+---------+-----------+-----------+-----+-----+---------+\n", - "\n", - " * Loop: \n", - " N/A\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Utilization Estimates\n", - "================================================================\n", - "* Summary: \n", - "+---------------------+---------+-------+---------+---------+------+\n", - "| Name | BRAM_18K| DSP | FF | LUT | URAM |\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|DSP | -| -| -| -| -|\n", - "|Expression | -| -| 0| 14| -|\n", - "|FIFO | -| -| -| -| -|\n", - "|Instance | 8| 2242| 7604| 119954| -|\n", - "|Memory | -| -| -| -| -|\n", - "|Multiplexer | -| -| 0| 36| -|\n", - "|Register | -| -| 3427| -| -|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Total | 8| 2242| 11031| 120004| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available SLR | 1344| 3072| 864000| 432000| 320|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization SLR (%) | ~0| 72| 1| 27| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Available | 5376| 12288| 3456000| 1728000| 1280|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "|Utilization (%) | ~0| 18| ~0| 6| 0|\n", - "+---------------------+---------+-------+---------+---------+------+\n", - "\n", - "+ Detail: \n", - " * Instance: \n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " | Instance | Module | BRAM_18K| DSP | FF | LUT | URAM|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s | 0| 119| 306| 4294| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s_fu_95 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config2_s | 0| 690| 1344| 27626| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s | 0| 907| 3817| 56158| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273 |dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s | 0| 521| 1745| 26222| 0|\n", - " |call_ret6_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s_fu_309 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config10_s | 0| 0| 0| 1216| 0|\n", - " |call_ret2_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s_fu_101 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config4_s | 0| 0| 0| 2432| 0|\n", - " |call_ret4_relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s_fu_237 |relu_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_relu_config7_s | 0| 0| 0| 1216| 0|\n", - " |grp_softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s_fu_381 |softmax_stable_ap_fixed_ap_fixed_16_6_5_3_0_softmax_config13_s | 8| 5| 392| 790| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - " |Total | | 8| 2242| 7604| 119954| 0|\n", - " +-------------------------------------------------------------------------------+------------------------------------------------------------------+---------+-----+------+-------+-----+\n", - "\n", - " * DSP: \n", - " N/A\n", - "\n", - " * Memory: \n", - " N/A\n", - "\n", - " * FIFO: \n", - " N/A\n", - "\n", - " * Expression: \n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " | Variable Name | Operation| DSP| FF| LUT| Bitwidth P0| Bitwidth P1|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |ap_block_pp0_stage0_01001 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp148 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp17 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp215 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp282 | and| 0| 0| 2| 1| 1|\n", - " |ap_block_pp0_stage0_11001_ignoreCallOp289 | and| 0| 0| 2| 1| 1|\n", - " |ap_enable_pp0 | xor| 0| 0| 2| 1| 2|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - " |Total | | 0| 0| 14| 7| 8|\n", - " +-------------------------------------------+----------+----+---+----+------------+------------+\n", - "\n", - " * Multiplexer: \n", - " +-------------------------+----+-----------+-----+-----------+\n", - " | Name | LUT| Input Size| Bits| Total Bits|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |fc1_input_ap_vld_in_sig | 9| 2| 1| 2|\n", - " |fc1_input_ap_vld_preg | 9| 2| 1| 2|\n", - " |fc1_input_blk_n | 9| 2| 1| 2|\n", - " |fc1_input_in_sig | 9| 2| 256| 512|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - " |Total | 36| 8| 259| 518|\n", - " +-------------------------+----+-----------+-----+-----------+\n", - "\n", - " * Register: \n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " | Name | FF | LUT| Bits| Const Bits|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |ap_CS_fsm | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|\n", - " |ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|\n", - " |fc1_input_ap_vld_preg | 1| 0| 1| 0|\n", - " |fc1_input_preg | 256| 0| 256| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config11_s_fu_345_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config5_s_fu_169_ap_start_reg | 1| 0| 1| 0|\n", - " |grp_dense_latency_ap_fixed_16_6_5_3_0_ap_fixed_16_6_5_3_0_config8_s_fu_273_ap_start_reg | 1| 0| 1| 0|\n", - " |layer10_out_10_reg_2377 | 16| 0| 16| 0|\n", - " |layer10_out_11_reg_2382 | 16| 0| 16| 0|\n", - " |layer10_out_12_reg_2387 | 16| 0| 16| 0|\n", - " |layer10_out_13_reg_2392 | 16| 0| 16| 0|\n", - " |layer10_out_14_reg_2397 | 16| 0| 16| 0|\n", - " |layer10_out_15_reg_2402 | 16| 0| 16| 0|\n", - " |layer10_out_16_reg_2407 | 16| 0| 16| 0|\n", - " |layer10_out_17_reg_2412 | 16| 0| 16| 0|\n", - " |layer10_out_18_reg_2417 | 16| 0| 16| 0|\n", - " |layer10_out_19_reg_2422 | 16| 0| 16| 0|\n", - " |layer10_out_1_reg_2332 | 16| 0| 16| 0|\n", - " |layer10_out_20_reg_2427 | 16| 0| 16| 0|\n", - " |layer10_out_21_reg_2432 | 16| 0| 16| 0|\n", - " |layer10_out_22_reg_2437 | 16| 0| 16| 0|\n", - " |layer10_out_23_reg_2442 | 16| 0| 16| 0|\n", - " |layer10_out_24_reg_2447 | 16| 0| 16| 0|\n", - " |layer10_out_25_reg_2452 | 16| 0| 16| 0|\n", - " |layer10_out_26_reg_2457 | 16| 0| 16| 0|\n", - " |layer10_out_27_reg_2462 | 16| 0| 16| 0|\n", - " |layer10_out_28_reg_2467 | 16| 0| 16| 0|\n", - " |layer10_out_29_reg_2472 | 16| 0| 16| 0|\n", - " |layer10_out_2_reg_2337 | 16| 0| 16| 0|\n", - " |layer10_out_30_reg_2477 | 16| 0| 16| 0|\n", - " |layer10_out_31_reg_2482 | 16| 0| 16| 0|\n", - " |layer10_out_3_reg_2342 | 16| 0| 16| 0|\n", - " |layer10_out_4_reg_2347 | 16| 0| 16| 0|\n", - " |layer10_out_5_reg_2352 | 16| 0| 16| 0|\n", - " |layer10_out_6_reg_2357 | 16| 0| 16| 0|\n", - " |layer10_out_7_reg_2362 | 16| 0| 16| 0|\n", - " |layer10_out_8_reg_2367 | 16| 0| 16| 0|\n", - " |layer10_out_9_reg_2372 | 16| 0| 16| 0|\n", - " |layer10_out_reg_2327 | 16| 0| 16| 0|\n", - " |layer11_out_1_reg_2492 | 16| 0| 16| 0|\n", - " |layer11_out_2_reg_2497 | 16| 0| 16| 0|\n", - " |layer11_out_3_reg_2502 | 16| 0| 16| 0|\n", - " |layer11_out_4_reg_2507 | 16| 0| 16| 0|\n", - " |layer11_out_reg_2487 | 16| 0| 16| 0|\n", - " |layer2_out_10_reg_1577 | 16| 0| 16| 0|\n", - " |layer2_out_11_reg_1582 | 16| 0| 16| 0|\n", - " |layer2_out_12_reg_1587 | 16| 0| 16| 0|\n", - " |layer2_out_13_reg_1592 | 16| 0| 16| 0|\n", - " |layer2_out_14_reg_1597 | 16| 0| 16| 0|\n", - " |layer2_out_15_reg_1602 | 16| 0| 16| 0|\n", - " |layer2_out_16_reg_1607 | 16| 0| 16| 0|\n", - " |layer2_out_17_reg_1612 | 16| 0| 16| 0|\n", - " |layer2_out_18_reg_1617 | 16| 0| 16| 0|\n", - " |layer2_out_19_reg_1622 | 16| 0| 16| 0|\n", - " |layer2_out_1_reg_1532 | 16| 0| 16| 0|\n", - " |layer2_out_20_reg_1627 | 16| 0| 16| 0|\n", - " |layer2_out_21_reg_1632 | 16| 0| 16| 0|\n", - " |layer2_out_22_reg_1637 | 16| 0| 16| 0|\n", - " |layer2_out_23_reg_1642 | 16| 0| 16| 0|\n", - " |layer2_out_24_reg_1647 | 16| 0| 16| 0|\n", - " |layer2_out_25_reg_1652 | 16| 0| 16| 0|\n", - " |layer2_out_26_reg_1657 | 16| 0| 16| 0|\n", - " |layer2_out_27_reg_1662 | 16| 0| 16| 0|\n", - " |layer2_out_28_reg_1667 | 16| 0| 16| 0|\n", - " |layer2_out_29_reg_1672 | 16| 0| 16| 0|\n", - " |layer2_out_2_reg_1537 | 16| 0| 16| 0|\n", - " |layer2_out_30_reg_1677 | 16| 0| 16| 0|\n", - " |layer2_out_31_reg_1682 | 16| 0| 16| 0|\n", - " |layer2_out_32_reg_1687 | 16| 0| 16| 0|\n", - " |layer2_out_33_reg_1692 | 16| 0| 16| 0|\n", - " |layer2_out_34_reg_1697 | 16| 0| 16| 0|\n", - " |layer2_out_35_reg_1702 | 16| 0| 16| 0|\n", - " |layer2_out_36_reg_1707 | 16| 0| 16| 0|\n", - " |layer2_out_37_reg_1712 | 16| 0| 16| 0|\n", - " |layer2_out_38_reg_1717 | 16| 0| 16| 0|\n", - " |layer2_out_39_reg_1722 | 16| 0| 16| 0|\n", - " |layer2_out_3_reg_1542 | 16| 0| 16| 0|\n", - " |layer2_out_40_reg_1727 | 16| 0| 16| 0|\n", - " |layer2_out_41_reg_1732 | 16| 0| 16| 0|\n", - " |layer2_out_42_reg_1737 | 16| 0| 16| 0|\n", - " |layer2_out_43_reg_1742 | 16| 0| 16| 0|\n", - " |layer2_out_44_reg_1747 | 16| 0| 16| 0|\n", - " |layer2_out_45_reg_1752 | 16| 0| 16| 0|\n", - " |layer2_out_46_reg_1757 | 16| 0| 16| 0|\n", - " |layer2_out_47_reg_1762 | 16| 0| 16| 0|\n", - " |layer2_out_48_reg_1767 | 16| 0| 16| 0|\n", - " |layer2_out_49_reg_1772 | 16| 0| 16| 0|\n", - " |layer2_out_4_reg_1547 | 16| 0| 16| 0|\n", - " |layer2_out_50_reg_1777 | 16| 0| 16| 0|\n", - " |layer2_out_51_reg_1782 | 16| 0| 16| 0|\n", - " |layer2_out_52_reg_1787 | 16| 0| 16| 0|\n", - " |layer2_out_53_reg_1792 | 16| 0| 16| 0|\n", - " |layer2_out_54_reg_1797 | 16| 0| 16| 0|\n", - " |layer2_out_55_reg_1802 | 16| 0| 16| 0|\n", - " |layer2_out_56_reg_1807 | 16| 0| 16| 0|\n", - " |layer2_out_57_reg_1812 | 16| 0| 16| 0|\n", - " |layer2_out_58_reg_1817 | 16| 0| 16| 0|\n", - " |layer2_out_59_reg_1822 | 16| 0| 16| 0|\n", - " |layer2_out_5_reg_1552 | 16| 0| 16| 0|\n", - " |layer2_out_60_reg_1827 | 16| 0| 16| 0|\n", - " |layer2_out_61_reg_1832 | 16| 0| 16| 0|\n", - " |layer2_out_62_reg_1837 | 16| 0| 16| 0|\n", - " |layer2_out_63_reg_1842 | 16| 0| 16| 0|\n", - " |layer2_out_6_reg_1557 | 16| 0| 16| 0|\n", - " |layer2_out_7_reg_1562 | 16| 0| 16| 0|\n", - " |layer2_out_8_reg_1567 | 16| 0| 16| 0|\n", - " |layer2_out_9_reg_1572 | 16| 0| 16| 0|\n", - " |layer2_out_reg_1527 | 16| 0| 16| 0|\n", - " |layer4_out_10_reg_1897 | 16| 0| 16| 0|\n", - " |layer4_out_11_reg_1902 | 16| 0| 16| 0|\n", - " |layer4_out_12_reg_1907 | 16| 0| 16| 0|\n", - " |layer4_out_13_reg_1912 | 16| 0| 16| 0|\n", - " |layer4_out_14_reg_1917 | 16| 0| 16| 0|\n", - " |layer4_out_15_reg_1922 | 16| 0| 16| 0|\n", - " |layer4_out_16_reg_1927 | 16| 0| 16| 0|\n", - " |layer4_out_17_reg_1932 | 16| 0| 16| 0|\n", - " |layer4_out_18_reg_1937 | 16| 0| 16| 0|\n", - " |layer4_out_19_reg_1942 | 16| 0| 16| 0|\n", - " |layer4_out_1_reg_1852 | 16| 0| 16| 0|\n", - " |layer4_out_20_reg_1947 | 16| 0| 16| 0|\n", - " |layer4_out_21_reg_1952 | 16| 0| 16| 0|\n", - " |layer4_out_22_reg_1957 | 16| 0| 16| 0|\n", - " |layer4_out_23_reg_1962 | 16| 0| 16| 0|\n", - " |layer4_out_24_reg_1967 | 16| 0| 16| 0|\n", - " |layer4_out_25_reg_1972 | 16| 0| 16| 0|\n", - " |layer4_out_26_reg_1977 | 16| 0| 16| 0|\n", - " |layer4_out_27_reg_1982 | 16| 0| 16| 0|\n", - " |layer4_out_28_reg_1987 | 16| 0| 16| 0|\n", - " |layer4_out_29_reg_1992 | 16| 0| 16| 0|\n", - " |layer4_out_2_reg_1857 | 16| 0| 16| 0|\n", - " |layer4_out_30_reg_1997 | 16| 0| 16| 0|\n", - " |layer4_out_31_reg_2002 | 16| 0| 16| 0|\n", - " |layer4_out_32_reg_2007 | 16| 0| 16| 0|\n", - " |layer4_out_33_reg_2012 | 16| 0| 16| 0|\n", - " |layer4_out_34_reg_2017 | 16| 0| 16| 0|\n", - " |layer4_out_35_reg_2022 | 16| 0| 16| 0|\n", - " |layer4_out_36_reg_2027 | 16| 0| 16| 0|\n", - " |layer4_out_37_reg_2032 | 16| 0| 16| 0|\n", - " |layer4_out_38_reg_2037 | 16| 0| 16| 0|\n", - " |layer4_out_39_reg_2042 | 16| 0| 16| 0|\n", - " |layer4_out_3_reg_1862 | 16| 0| 16| 0|\n", - " |layer4_out_40_reg_2047 | 16| 0| 16| 0|\n", - " |layer4_out_41_reg_2052 | 16| 0| 16| 0|\n", - " |layer4_out_42_reg_2057 | 16| 0| 16| 0|\n", - " |layer4_out_43_reg_2062 | 16| 0| 16| 0|\n", - " |layer4_out_44_reg_2067 | 16| 0| 16| 0|\n", - " |layer4_out_45_reg_2072 | 16| 0| 16| 0|\n", - " |layer4_out_46_reg_2077 | 16| 0| 16| 0|\n", - " |layer4_out_47_reg_2082 | 16| 0| 16| 0|\n", - " |layer4_out_48_reg_2087 | 16| 0| 16| 0|\n", - " |layer4_out_49_reg_2092 | 16| 0| 16| 0|\n", - " |layer4_out_4_reg_1867 | 16| 0| 16| 0|\n", - " |layer4_out_50_reg_2097 | 16| 0| 16| 0|\n", - " |layer4_out_51_reg_2102 | 16| 0| 16| 0|\n", - " |layer4_out_52_reg_2107 | 16| 0| 16| 0|\n", - " |layer4_out_53_reg_2112 | 16| 0| 16| 0|\n", - " |layer4_out_54_reg_2117 | 16| 0| 16| 0|\n", - " |layer4_out_55_reg_2122 | 16| 0| 16| 0|\n", - " |layer4_out_56_reg_2127 | 16| 0| 16| 0|\n", - " |layer4_out_57_reg_2132 | 16| 0| 16| 0|\n", - " |layer4_out_58_reg_2137 | 16| 0| 16| 0|\n", - " |layer4_out_59_reg_2142 | 16| 0| 16| 0|\n", - " |layer4_out_5_reg_1872 | 16| 0| 16| 0|\n", - " |layer4_out_60_reg_2147 | 16| 0| 16| 0|\n", - " |layer4_out_61_reg_2152 | 16| 0| 16| 0|\n", - " |layer4_out_62_reg_2157 | 16| 0| 16| 0|\n", - " |layer4_out_63_reg_2162 | 16| 0| 16| 0|\n", - " |layer4_out_6_reg_1877 | 16| 0| 16| 0|\n", - " |layer4_out_7_reg_1882 | 16| 0| 16| 0|\n", - " |layer4_out_8_reg_1887 | 16| 0| 16| 0|\n", - " |layer4_out_9_reg_1892 | 16| 0| 16| 0|\n", - " |layer4_out_reg_1847 | 16| 0| 16| 0|\n", - " |layer7_out_10_reg_2217 | 16| 0| 16| 0|\n", - " |layer7_out_11_reg_2222 | 16| 0| 16| 0|\n", - " |layer7_out_12_reg_2227 | 16| 0| 16| 0|\n", - " |layer7_out_13_reg_2232 | 16| 0| 16| 0|\n", - " |layer7_out_14_reg_2237 | 16| 0| 16| 0|\n", - " |layer7_out_15_reg_2242 | 16| 0| 16| 0|\n", - " |layer7_out_16_reg_2247 | 16| 0| 16| 0|\n", - " |layer7_out_17_reg_2252 | 16| 0| 16| 0|\n", - " |layer7_out_18_reg_2257 | 16| 0| 16| 0|\n", - " |layer7_out_19_reg_2262 | 16| 0| 16| 0|\n", - " |layer7_out_1_reg_2172 | 16| 0| 16| 0|\n", - " |layer7_out_20_reg_2267 | 16| 0| 16| 0|\n", - " |layer7_out_21_reg_2272 | 16| 0| 16| 0|\n", - " |layer7_out_22_reg_2277 | 16| 0| 16| 0|\n", - " |layer7_out_23_reg_2282 | 16| 0| 16| 0|\n", - " |layer7_out_24_reg_2287 | 16| 0| 16| 0|\n", - " |layer7_out_25_reg_2292 | 16| 0| 16| 0|\n", - " |layer7_out_26_reg_2297 | 16| 0| 16| 0|\n", - " |layer7_out_27_reg_2302 | 16| 0| 16| 0|\n", - " |layer7_out_28_reg_2307 | 16| 0| 16| 0|\n", - " |layer7_out_29_reg_2312 | 16| 0| 16| 0|\n", - " |layer7_out_2_reg_2177 | 16| 0| 16| 0|\n", - " |layer7_out_30_reg_2317 | 16| 0| 16| 0|\n", - " |layer7_out_31_reg_2322 | 16| 0| 16| 0|\n", - " |layer7_out_3_reg_2182 | 16| 0| 16| 0|\n", - " |layer7_out_4_reg_2187 | 16| 0| 16| 0|\n", - " |layer7_out_5_reg_2192 | 16| 0| 16| 0|\n", - " |layer7_out_6_reg_2197 | 16| 0| 16| 0|\n", - " |layer7_out_7_reg_2202 | 16| 0| 16| 0|\n", - " |layer7_out_8_reg_2207 | 16| 0| 16| 0|\n", - " |layer7_out_9_reg_2212 | 16| 0| 16| 0|\n", - " |layer7_out_reg_2167 | 16| 0| 16| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - " |Total | 3427| 0| 3427| 0|\n", - " +------------------------------------------------------------------------------------------+-----+----+-----+-----------+\n", - "\n", - "\n", - "\n", - "================================================================\n", - "== Interface\n", - "================================================================\n", - "* Summary: \n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "|ap_clk | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_rst | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_start | in| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_done | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_idle | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|ap_ready | out| 1| ap_ctrl_hs| myproject| return value|\n", - "|fc1_input_ap_vld | in| 1| ap_vld| fc1_input| pointer|\n", - "|fc1_input | in| 256| ap_vld| fc1_input| pointer|\n", - "|layer13_out_0 | out| 16| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_0_ap_vld | out| 1| ap_vld| layer13_out_0| pointer|\n", - "|layer13_out_1 | out| 16| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_1_ap_vld | out| 1| ap_vld| layer13_out_1| pointer|\n", - "|layer13_out_2 | out| 16| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_2_ap_vld | out| 1| ap_vld| layer13_out_2| pointer|\n", - "|layer13_out_3 | out| 16| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_3_ap_vld | out| 1| ap_vld| layer13_out_3| pointer|\n", - "|layer13_out_4 | out| 16| ap_vld| layer13_out_4| pointer|\n", - "|layer13_out_4_ap_vld | out| 1| ap_vld| layer13_out_4| pointer|\n", - "+----------------------+-----+-----+------------+---------------+--------------+\n", - "\n", - "Co-simulation report not found.\n" - ] - } - ], + "outputs": [], "source": [ "hls4ml.report.read_vivado_report('model_1/hls4ml_prj')" ] @@ -2234,7 +312,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part4.1_HG_quantization.ipynb b/part4.1_HG_quantization.ipynb index b236cb51..19a923ab 100644 --- a/part4.1_HG_quantization.ipynb +++ b/part4.1_HG_quantization.ipynb @@ -150,7 +150,7 @@ }, { "cell_type": "code", - "execution_count": 29, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -380,7 +380,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -473,7 +472,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part4_quantization.ipynb b/part4_quantization.ipynb index 5b0583a3..80f64c57 100644 --- a/part4_quantization.ipynb +++ b/part4_quantization.ipynb @@ -28,7 +28,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = '/opt/Xilinx//Vitis_HLS/2024.1/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { @@ -290,7 +290,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -398,7 +397,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part6_cnns.ipynb b/part6_cnns.ipynb index f0e079e6..aa37c94e 100644 --- a/part6_cnns.ipynb +++ b/part6_cnns.ipynb @@ -1,7 +1,6 @@ { "cells": [ { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -24,7 +23,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -36,28 +34,20 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-01 15:34:57.319698: I tensorflow/core/platform/cpu_feature_guard.cc:193] This TensorFlow binary is optimized with oneAPI Deep Neural Network Library (oneDNN) to use the following CPU instructions in performance-critical operations: SSE4.1 SSE4.2 AVX AVX2 FMA\n", - "To enable them in other operations, rebuild TensorFlow with the appropriate compiler flags.\n" - ] - } - ], + "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import time\n", "import tensorflow.compat.v2 as tf\n", - "import tensorflow_datasets as tfds" + "import tensorflow_datasets as tfds\n", + "\n", + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -71,176 +61,9 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[1mDownloading and preparing dataset Unknown size (download: Unknown size, generated: Unknown size, total: Unknown size) to /home/x-jschulte/tensorflow_datasets/svhn_cropped/3.0.0...\u001b[0m\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-10-01 15:34:59.977365: W tensorflow/core/platform/cloud/google_auth_provider.cc:184] All attempts to get a Google authentication bearer token failed, returning an empty token. Retrieving token from files failed with \"NOT_FOUND: Could not locate the credentials file.\". Retrieving token from GCE failed with \"FAILED_PRECONDITION: Error executing an HTTP request: libcurl code 6 meaning 'Couldn't resolve host name', error details: Could not resolve host: metadata\".\n" - ] - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "fc65afd483fb40f4b52f2a7a0aa9aece", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Dl Completed...: 0 url [00:00, ? url/s]" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e526ddb4a9c741a98a6c070369153736", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Dl Size...: 0 MiB [00:00, ? MiB/s]" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Generating splits...: 0%| | 0/3 [00:00" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "ds_train, info = tfds.load('svhn_cropped', split='train[:90%]', with_info=True, as_supervised=True)\n", "ds_test = tfds.load('svhn_cropped', split='test', shuffle_files=True, as_supervised=True)\n", @@ -256,7 +79,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -268,7 +90,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -280,18 +102,9 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "X train batch shape = (1024, 32, 32, 3), Y train batch shape = (1024, 10) \n", - "X test batch shape = (26032, 32, 32, 3), Y test batch shape = (26032, 10) \n" - ] - } - ], + "outputs": [], "source": [ "batch_size = 1024\n", "\n", @@ -314,7 +127,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -328,79 +140,9 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Adding convolutional block 0 with N=16 filters\n", - "Adding convolutional block 1 with N=16 filters\n", - "Adding convolutional block 2 with N=24 filters\n", - "Adding dense block 0 with N=42 neurons\n", - "Adding dense block 1 with N=64 neurons\n", - "Model: \"keras_baseline\"\n", - "_________________________________________________________________\n", - " Layer (type) Output Shape Param # \n", - "=================================================================\n", - " input_1 (InputLayer) [(None, 32, 32, 3)] 0 \n", - " \n", - " conv_0 (Conv2D) (None, 30, 30, 16) 432 \n", - " \n", - " bn_conv_0 (BatchNormalizati (None, 30, 30, 16) 64 \n", - " on) \n", - " \n", - " conv_act_0 (Activation) (None, 30, 30, 16) 0 \n", - " \n", - " pool_0 (MaxPooling2D) (None, 15, 15, 16) 0 \n", - " \n", - " conv_1 (Conv2D) (None, 13, 13, 16) 2304 \n", - " \n", - " bn_conv_1 (BatchNormalizati (None, 13, 13, 16) 64 \n", - " on) \n", - " \n", - " conv_act_1 (Activation) (None, 13, 13, 16) 0 \n", - " \n", - " pool_1 (MaxPooling2D) (None, 6, 6, 16) 0 \n", - " \n", - " conv_2 (Conv2D) (None, 4, 4, 24) 3456 \n", - " \n", - " bn_conv_2 (BatchNormalizati (None, 4, 4, 24) 96 \n", - " on) \n", - " \n", - " conv_act_2 (Activation) (None, 4, 4, 24) 0 \n", - " \n", - " pool_2 (MaxPooling2D) (None, 2, 2, 24) 0 \n", - " \n", - " flatten (Flatten) (None, 96) 0 \n", - " \n", - " dense_0 (Dense) (None, 42) 4032 \n", - " \n", - " bn_dense_0 (BatchNormalizat (None, 42) 168 \n", - " ion) \n", - " \n", - " dense_act_0 (Activation) (None, 42) 0 \n", - " \n", - " dense_1 (Dense) (None, 64) 2688 \n", - " \n", - " bn_dense_1 (BatchNormalizat (None, 64) 256 \n", - " ion) \n", - " \n", - " dense_act_1 (Activation) (None, 64) 0 \n", - " \n", - " output_dense (Dense) (None, 10) 650 \n", - " \n", - " output_softmax (Activation) (None, 10) 0 \n", - " \n", - "=================================================================\n", - "Total params: 14,210\n", - "Trainable params: 13,886\n", - "Non-trainable params: 324\n", - "_________________________________________________________________\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.layers import Input\n", "from tensorflow.keras.layers import BatchNormalization\n", @@ -448,7 +190,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -460,22 +201,9 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "conv_0: 432\n", - "conv_1: 2304\n", - "conv_2: 3456\n", - "dense_0: 4032\n", - "dense_1: 2688\n", - "output_dense: 640\n" - ] - } - ], + "outputs": [], "source": [ "for layer in model.layers:\n", " if layer.__class__.__name__ in ['Conv2D', 'Dense']:\n", @@ -487,7 +215,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -502,29 +229,9 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Number of training steps per epoch is 64\n", - "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", - "Instructions for updating:\n", - "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:From /opt/conda/lib/python3.10/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py:83: Analyzer.lamba_check (from tensorflow.python.autograph.pyct.static_analysis.liveness) is deprecated and will be removed after 2023-09-23.\n", - "Instructions for updating:\n", - "Lambda fuctions will be no more assumed to be used in the statement where they are used, or at least in the same block. https://github.com/tensorflow/tensorflow/issues/56089\n" - ] - } - ], + "outputs": [], "source": [ "import tensorflow_model_optimization as tfmot\n", "from tensorflow_model_optimization.sparsity import keras as sparsity\n", @@ -553,7 +260,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -567,83 +273,9 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n", - "65/65 [==============================] - 115s 2s/step - loss: 1.5923 - accuracy: 0.5069 - val_loss: 2.1151 - val_accuracy: 0.2385 - lr: 0.0030\n", - "Epoch 2/30\n", - "65/65 [==============================] - 103s 2s/step - loss: 0.7379 - accuracy: 0.8063 - val_loss: 1.5453 - val_accuracy: 0.4899 - lr: 0.0030\n", - "Epoch 3/30\n", - "65/65 [==============================] - 98s 1s/step - loss: 0.5898 - accuracy: 0.8523 - val_loss: 1.4135 - val_accuracy: 0.5612 - lr: 0.0030\n", - "Epoch 4/30\n", - "65/65 [==============================] - 87s 1s/step - loss: 0.5289 - accuracy: 0.8695 - val_loss: 1.0892 - val_accuracy: 0.6650 - lr: 0.0030\n", - "Epoch 5/30\n", - "65/65 [==============================] - 86s 1s/step - loss: 0.4892 - accuracy: 0.8800 - val_loss: 1.3427 - val_accuracy: 0.6151 - lr: 0.0030\n", - "Epoch 6/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.4627 - accuracy: 0.8852 - val_loss: 0.7451 - val_accuracy: 0.7898 - lr: 0.0030\n", - "Epoch 7/30\n", - "65/65 [==============================] - 81s 1s/step - loss: 0.4412 - accuracy: 0.8912 - val_loss: 0.6801 - val_accuracy: 0.8109 - lr: 0.0030\n", - "Epoch 8/30\n", - "65/65 [==============================] - 102s 2s/step - loss: 0.4247 - accuracy: 0.8942 - val_loss: 0.5264 - val_accuracy: 0.8649 - lr: 0.0030\n", - "Epoch 9/30\n", - "65/65 [==============================] - 99s 2s/step - loss: 0.4023 - accuracy: 0.9024 - val_loss: 0.5170 - val_accuracy: 0.8653 - lr: 0.0030\n", - "Epoch 10/30\n", - "65/65 [==============================] - 94s 1s/step - loss: 0.3918 - accuracy: 0.9042 - val_loss: 0.5094 - val_accuracy: 0.8680 - lr: 0.0030\n", - "Epoch 11/30\n", - "65/65 [==============================] - 92s 1s/step - loss: 0.3845 - accuracy: 0.9060 - val_loss: 0.4836 - val_accuracy: 0.8752 - lr: 0.0030\n", - "Epoch 12/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.3778 - accuracy: 0.9071 - val_loss: 0.4742 - val_accuracy: 0.8797 - lr: 0.0030\n", - "Epoch 13/30\n", - "65/65 [==============================] - 91s 1s/step - loss: 0.3738 - accuracy: 0.9076 - val_loss: 0.4738 - val_accuracy: 0.8762 - lr: 0.0030\n", - "Epoch 14/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.3707 - accuracy: 0.9092 - val_loss: 0.4946 - val_accuracy: 0.8699 - lr: 0.0030\n", - "Epoch 15/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.3638 - accuracy: 0.9096 - val_loss: 0.5020 - val_accuracy: 0.8698 - lr: 0.0030\n", - "Epoch 16/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.3594 - accuracy: 0.9114\n", - "Epoch 16: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", - "65/65 [==============================] - 92s 1s/step - loss: 0.3594 - accuracy: 0.9114 - val_loss: 0.4799 - val_accuracy: 0.8755 - lr: 0.0030\n", - "Epoch 17/30\n", - "65/65 [==============================] - 90s 1s/step - loss: 0.3415 - accuracy: 0.9167 - val_loss: 0.4661 - val_accuracy: 0.8796 - lr: 0.0015\n", - "Epoch 18/30\n", - "65/65 [==============================] - 94s 1s/step - loss: 0.3366 - accuracy: 0.9182 - val_loss: 0.4704 - val_accuracy: 0.8776 - lr: 0.0015\n", - "Epoch 19/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.3336 - accuracy: 0.9196 - val_loss: 0.4632 - val_accuracy: 0.8781 - lr: 0.0015\n", - "Epoch 20/30\n", - "65/65 [==============================] - 89s 1s/step - loss: 0.3319 - accuracy: 0.9196 - val_loss: 0.4818 - val_accuracy: 0.8713 - lr: 0.0015\n", - "Epoch 21/30\n", - "65/65 [==============================] - 88s 1s/step - loss: 0.3296 - accuracy: 0.9198 - val_loss: 0.4607 - val_accuracy: 0.8823 - lr: 0.0015\n", - "Epoch 22/30\n", - "65/65 [==============================] - 87s 1s/step - loss: 0.3272 - accuracy: 0.9211 - val_loss: 0.4535 - val_accuracy: 0.8827 - lr: 0.0015\n", - "Epoch 23/30\n", - "65/65 [==============================] - 87s 1s/step - loss: 0.3240 - accuracy: 0.9209 - val_loss: 0.4593 - val_accuracy: 0.8818 - lr: 0.0015\n", - "Epoch 24/30\n", - "65/65 [==============================] - 88s 1s/step - loss: 0.3230 - accuracy: 0.9212 - val_loss: 0.4537 - val_accuracy: 0.8814 - lr: 0.0015\n", - "Epoch 25/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.3223 - accuracy: 0.9213\n", - "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", - "65/65 [==============================] - 88s 1s/step - loss: 0.3223 - accuracy: 0.9213 - val_loss: 0.4559 - val_accuracy: 0.8804 - lr: 0.0015\n", - "Epoch 26/30\n", - "65/65 [==============================] - 90s 1s/step - loss: 0.3122 - accuracy: 0.9249 - val_loss: 0.4336 - val_accuracy: 0.8866 - lr: 7.5000e-04\n", - "Epoch 27/30\n", - "65/65 [==============================] - 86s 1s/step - loss: 0.3082 - accuracy: 0.9267 - val_loss: 0.4329 - val_accuracy: 0.8900 - lr: 7.5000e-04\n", - "Epoch 28/30\n", - "65/65 [==============================] - 88s 1s/step - loss: 0.3071 - accuracy: 0.9262 - val_loss: 0.4338 - val_accuracy: 0.8879 - lr: 7.5000e-04\n", - "Epoch 29/30\n", - "65/65 [==============================] - 87s 1s/step - loss: 0.3060 - accuracy: 0.9260 - val_loss: 0.4347 - val_accuracy: 0.8874 - lr: 7.5000e-04\n", - "Epoch 30/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.3046 - accuracy: 0.9264\n", - "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", - "65/65 [==============================] - 85s 1s/step - loss: 0.3046 - accuracy: 0.9264 - val_loss: 0.4408 - val_accuracy: 0.8847 - lr: 7.5000e-04\n", - "It took 45.57152777512868 minutes to train Keras model\n" - ] - } - ], + "outputs": [], "source": [ "train = True # True if you want to retrain, false if you want to load a previsously trained model\n", "\n", @@ -680,7 +312,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -691,7 +322,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -705,73 +335,9 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Adding fused QConv+BN block 0 with N=16 filters\n", - "Adding fused QConv+BN block 1 with N=16 filters\n", - "Adding fused QConv+BN block 2 with N=24 filters\n", - "Adding QDense block 0 with N=42 neurons\n", - "Adding QDense block 1 with N=64 neurons\n", - "Model: \"qkeras\"\n", - "_________________________________________________________________\n", - " Layer (type) Output Shape Param # \n", - "=================================================================\n", - " input_2 (InputLayer) [(None, 32, 32, 3)] 0 \n", - " \n", - " fused_convbn_0 (QConv2DBatc (None, 30, 30, 16) 513 \n", - " hnorm) \n", - " \n", - " conv_act_0 (QActivation) (None, 30, 30, 16) 0 \n", - " \n", - " pool_0 (MaxPooling2D) (None, 15, 15, 16) 0 \n", - " \n", - " fused_convbn_1 (QConv2DBatc (None, 13, 13, 16) 2385 \n", - " hnorm) \n", - " \n", - " conv_act_1 (QActivation) (None, 13, 13, 16) 0 \n", - " \n", - " pool_1 (MaxPooling2D) (None, 6, 6, 16) 0 \n", - " \n", - " fused_convbn_2 (QConv2DBatc (None, 4, 4, 24) 3577 \n", - " hnorm) \n", - " \n", - " conv_act_2 (QActivation) (None, 4, 4, 24) 0 \n", - " \n", - " pool_2 (MaxPooling2D) (None, 2, 2, 24) 0 \n", - " \n", - " flatten_1 (Flatten) (None, 96) 0 \n", - " \n", - " dense_0 (QDense) (None, 42) 4032 \n", - " \n", - " bn_dense_0 (BatchNormalizat (None, 42) 168 \n", - " ion) \n", - " \n", - " dense_act_0 (QActivation) (None, 42) 0 \n", - " \n", - " dense_1 (QDense) (None, 64) 2688 \n", - " \n", - " bn_dense_1 (BatchNormalizat (None, 64) 256 \n", - " ion) \n", - " \n", - " dense_act_1 (QActivation) (None, 64) 0 \n", - " \n", - " output_dense (Dense) (None, 10) 650 \n", - " \n", - " output_softmax (Activation) (None, 10) 0 \n", - " \n", - "=================================================================\n", - "Total params: 14,269\n", - "Trainable params: 13,942\n", - "Non-trainable params: 327\n", - "_________________________________________________________________\n" - ] - } - ], + "outputs": [], "source": [ "from qkeras import QActivation\n", "from qkeras import QDense, QConv2DBatchnorm\n", @@ -816,29 +382,9 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "fused_convbn_0 f=16 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", - "conv_act_0 quantized_relu(6)\n", - "fused_convbn_1 f=16 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", - "conv_act_1 quantized_relu(6)\n", - "fused_convbn_2 f=24 quantized_bits(6,0,0,alpha=1) quantized_bits(6,0,0,alpha=1) \n", - "conv_act_2 quantized_relu(6)\n", - "dense_0 u=42 quantized_bits(6,0,0,alpha=1) \n", - "bn_dense_0 is normal keras bn layer\n", - "dense_act_0 quantized_relu(6)\n", - "dense_1 u=64 quantized_bits(6,0,0,alpha=1) \n", - "bn_dense_1 is normal keras bn layer\n", - "dense_act_1 quantized_relu(6)\n", - "\n" - ] - } - ], + "outputs": [], "source": [ "# Print the quantized layers\n", "from qkeras.autoqkeras.utils import print_qmodel_summary\n", @@ -847,7 +393,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -861,7 +406,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -870,87 +415,9 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Epoch 1/30\n", - "65/65 [==============================] - 202s 3s/step - loss: 2.0991 - accuracy: 0.3078 - val_loss: 2.2270 - val_accuracy: 0.2472 - lr: 0.0030\n", - "Epoch 2/30\n", - "65/65 [==============================] - 186s 3s/step - loss: 1.3108 - accuracy: 0.6119 - val_loss: 2.4650 - val_accuracy: 0.2768 - lr: 0.0030\n", - "Epoch 3/30\n", - "65/65 [==============================] - 188s 3s/step - loss: 0.8862 - accuracy: 0.7617 - val_loss: 1.9167 - val_accuracy: 0.4382 - lr: 0.0030\n", - "Epoch 4/30\n", - "65/65 [==============================] - 185s 3s/step - loss: 0.7235 - accuracy: 0.8106 - val_loss: 1.2889 - val_accuracy: 0.6002 - lr: 0.0030\n", - "Epoch 5/30\n", - "65/65 [==============================] - 187s 3s/step - loss: 0.6886 - accuracy: 0.8190 - val_loss: 1.1894 - val_accuracy: 0.6466 - lr: 0.0030\n", - "Epoch 6/30\n", - "65/65 [==============================] - 184s 3s/step - loss: 0.6561 - accuracy: 0.8268 - val_loss: 1.0449 - val_accuracy: 0.6946 - lr: 0.0030\n", - "Epoch 7/30\n", - "65/65 [==============================] - 177s 3s/step - loss: 0.6059 - accuracy: 0.8427 - val_loss: 1.0904 - val_accuracy: 0.6821 - lr: 0.0030\n", - "Epoch 8/30\n", - "65/65 [==============================] - 183s 3s/step - loss: 0.5734 - accuracy: 0.8490 - val_loss: 0.8816 - val_accuracy: 0.7468 - lr: 0.0030\n", - "Epoch 9/30\n", - "65/65 [==============================] - 191s 3s/step - loss: 0.5584 - accuracy: 0.8542 - val_loss: 0.7656 - val_accuracy: 0.7838 - lr: 0.0030\n", - "Epoch 10/30\n", - "65/65 [==============================] - 186s 3s/step - loss: 0.5286 - accuracy: 0.8628 - val_loss: 0.7574 - val_accuracy: 0.7830 - lr: 0.0030\n", - "Epoch 11/30\n", - "65/65 [==============================] - 198s 3s/step - loss: 0.5069 - accuracy: 0.8687 - val_loss: 0.7822 - val_accuracy: 0.7805 - lr: 0.0030\n", - "Epoch 12/30\n", - "65/65 [==============================] - 317s 5s/step - loss: 0.4971 - accuracy: 0.8710 - val_loss: 0.7574 - val_accuracy: 0.7875 - lr: 0.0030\n", - "Epoch 13/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.4968 - accuracy: 0.8708\n", - "Epoch 13: ReduceLROnPlateau reducing learning rate to 0.001500000013038516.\n", - "65/65 [==============================] - 319s 5s/step - loss: 0.4968 - accuracy: 0.8708 - val_loss: 0.7925 - val_accuracy: 0.7619 - lr: 0.0030\n", - "Epoch 14/30\n", - "65/65 [==============================] - 316s 5s/step - loss: 0.4702 - accuracy: 0.8784 - val_loss: 0.5873 - val_accuracy: 0.8419 - lr: 0.0015\n", - "Epoch 15/30\n", - "65/65 [==============================] - 316s 5s/step - loss: 0.4596 - accuracy: 0.8821 - val_loss: 0.5868 - val_accuracy: 0.8406 - lr: 0.0015\n", - "Epoch 16/30\n", - "65/65 [==============================] - 321s 5s/step - loss: 0.4524 - accuracy: 0.8842 - val_loss: 0.5289 - val_accuracy: 0.8567 - lr: 0.0015\n", - "Epoch 17/30\n", - "65/65 [==============================] - 314s 5s/step - loss: 0.4449 - accuracy: 0.8861 - val_loss: 0.5417 - val_accuracy: 0.8553 - lr: 0.0015\n", - "Epoch 18/30\n", - "65/65 [==============================] - 315s 5s/step - loss: 0.4406 - accuracy: 0.8870 - val_loss: 0.5335 - val_accuracy: 0.8598 - lr: 0.0015\n", - "Epoch 19/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.4364 - accuracy: 0.8886\n", - "Epoch 19: ReduceLROnPlateau reducing learning rate to 0.000750000006519258.\n", - "65/65 [==============================] - 326s 5s/step - loss: 0.4364 - accuracy: 0.8886 - val_loss: 0.5625 - val_accuracy: 0.8447 - lr: 0.0015\n", - "Epoch 20/30\n", - "65/65 [==============================] - 324s 5s/step - loss: 0.4254 - accuracy: 0.8913 - val_loss: 0.5189 - val_accuracy: 0.8639 - lr: 7.5000e-04\n", - "Epoch 21/30\n", - "65/65 [==============================] - 308s 5s/step - loss: 0.4226 - accuracy: 0.8925 - val_loss: 0.5113 - val_accuracy: 0.8673 - lr: 7.5000e-04\n", - "Epoch 22/30\n", - "65/65 [==============================] - 320s 5s/step - loss: 0.4192 - accuracy: 0.8936 - val_loss: 0.5108 - val_accuracy: 0.8662 - lr: 7.5000e-04\n", - "Epoch 23/30\n", - "65/65 [==============================] - 320s 5s/step - loss: 0.4179 - accuracy: 0.8938 - val_loss: 0.5213 - val_accuracy: 0.8634 - lr: 7.5000e-04\n", - "Epoch 24/30\n", - "65/65 [==============================] - 329s 5s/step - loss: 0.4163 - accuracy: 0.8934 - val_loss: 0.5135 - val_accuracy: 0.8666 - lr: 7.5000e-04\n", - "Epoch 25/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.4134 - accuracy: 0.8952\n", - "Epoch 25: ReduceLROnPlateau reducing learning rate to 0.000375000003259629.\n", - "65/65 [==============================] - 330s 5s/step - loss: 0.4134 - accuracy: 0.8952 - val_loss: 0.5191 - val_accuracy: 0.8635 - lr: 7.5000e-04\n", - "Epoch 26/30\n", - "65/65 [==============================] - 331s 5s/step - loss: 0.4063 - accuracy: 0.8975 - val_loss: 0.5183 - val_accuracy: 0.8602 - lr: 3.7500e-04\n", - "Epoch 27/30\n", - "65/65 [==============================] - 336s 5s/step - loss: 0.4052 - accuracy: 0.8980 - val_loss: 0.5046 - val_accuracy: 0.8668 - lr: 3.7500e-04\n", - "Epoch 28/30\n", - "65/65 [==============================] - 333s 5s/step - loss: 0.4053 - accuracy: 0.8976 - val_loss: 0.5144 - val_accuracy: 0.8621 - lr: 3.7500e-04\n", - "Epoch 29/30\n", - "65/65 [==============================] - 321s 5s/step - loss: 0.4042 - accuracy: 0.8988 - val_loss: 0.5069 - val_accuracy: 0.8711 - lr: 3.7500e-04\n", - "Epoch 30/30\n", - "65/65 [==============================] - ETA: 0s - loss: 0.4025 - accuracy: 0.8986\n", - "Epoch 30: ReduceLROnPlateau reducing learning rate to 0.0001875000016298145.\n", - "65/65 [==============================] - 316s 5s/step - loss: 0.4025 - accuracy: 0.8986 - val_loss: 0.5057 - val_accuracy: 0.8690 - lr: 3.7500e-04\n", - "\n", - " It took 136.529503262043 minutes to train!\n", - "\n" - ] - } - ], + "outputs": [], "source": [ "train = True\n", "\n", @@ -984,7 +451,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1000,21 +466,9 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "814/814 [==============================] - 8s 10ms/step\n", - "814/814 [==============================] - 8s 9ms/step - loss: 0.4567 - accuracy: 0.8837\n", - "814/814 [==============================] - 24s 29ms/step\n", - "814/814 [==============================] - 22s 27ms/step - loss: 0.5333 - accuracy: 0.8586\n", - "Keras accuracy = 0.8837200403213501 , QKeras 6-bit accuracy = 0.858558714389801\n" - ] - } - ], + "outputs": [], "source": [ "predict_baseline = model_pruned.predict(X_test)\n", "test_score_baseline = model_pruned.evaluate(X_test, Y_test)\n", @@ -1027,37 +481,9 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Plotting ROC for labels ['0', '1', '2', '3', '4', '5', '6', '7', '8', '9']\n" - ] - }, - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import pandas as pd\n", @@ -1124,7 +550,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1138,55 +563,9 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Layer prune_low_magnitude_conv_0: % of zeros = 0.5\n", - "Layer bn_conv_0: % of zeros = 0.0\n", - "Layer prune_low_magnitude_conv_1: % of zeros = 0.5\n", - "Layer bn_conv_1: % of zeros = 0.0\n", - "Layer prune_low_magnitude_conv_2: % of zeros = 0.5\n", - "Layer bn_conv_2: % of zeros = 0.0\n", - "Layer prune_low_magnitude_dense_0: % of zeros = 0.5\n", - "Layer bn_dense_0: % of zeros = 0.0\n", - "Layer prune_low_magnitude_dense_1: % of zeros = 0.5\n", - "Layer bn_dense_1: % of zeros = 0.0\n", - "Layer output_dense: % of zeros = 0.0\n", - "Layer prune_low_magnitude_fused_convbn_0: % of zeros = 0.5\n", - "Layer prune_low_magnitude_fused_convbn_1: % of zeros = 0.5\n", - "Layer prune_low_magnitude_fused_convbn_2: % of zeros = 0.5\n", - "Layer prune_low_magnitude_dense_0: % of zeros = 0.5\n", - "Layer bn_dense_0: % of zeros = 0.0\n", - "Layer prune_low_magnitude_dense_1: % of zeros = 0.5\n", - "Layer bn_dense_1: % of zeros = 0.0\n", - "Layer output_dense: % of zeros = 0.0\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "def doWeights(model):\n", " allWeightsByLayer = {}\n", @@ -1218,7 +597,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1230,7 +608,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1244,7 +621,7 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -1265,7 +642,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -1286,264 +662,9 @@ }, { "cell_type": "code", - "execution_count": 18, + "execution_count": null, "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Model\n", - "Topology:\n", - "Layer name: input_1, layer type: InputLayer, input shapes: [[None, 32, 32, 3]], output shape: [None, 32, 32, 3]\n", - "Layer name: conv_0, layer type: Conv2D, input shapes: [[None, 32, 32, 3]], output shape: [None, 30, 30, 16]\n", - "Layer name: bn_conv_0, layer type: BatchNormalization, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: conv_act_0, layer type: Activation, input shapes: [[None, 30, 30, 16]], output shape: [None, 30, 30, 16]\n", - "Layer name: pool_0, layer type: MaxPooling2D, input shapes: [[None, 30, 30, 16]], output shape: [None, 15, 15, 16]\n", - "Layer name: conv_1, layer type: Conv2D, input shapes: [[None, 15, 15, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: bn_conv_1, layer type: BatchNormalization, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: conv_act_1, layer type: Activation, input shapes: [[None, 13, 13, 16]], output shape: [None, 13, 13, 16]\n", - "Layer name: pool_1, layer type: MaxPooling2D, input shapes: [[None, 13, 13, 16]], output shape: [None, 6, 6, 16]\n", - "Layer name: conv_2, layer type: Conv2D, input shapes: [[None, 6, 6, 16]], output shape: [None, 4, 4, 24]\n", - "Layer name: bn_conv_2, layer type: BatchNormalization, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: conv_act_2, layer type: Activation, input shapes: [[None, 4, 4, 24]], output shape: [None, 4, 4, 24]\n", - "Layer name: pool_2, layer type: MaxPooling2D, input shapes: [[None, 4, 4, 24]], output shape: [None, 2, 2, 24]\n", - "Layer name: flatten, layer type: Reshape, input shapes: [[None, 2, 2, 24]], output shape: [None, 96]\n", - "Layer name: dense_0, layer type: Dense, input shapes: [[None, 96]], output shape: [None, 42]\n", - "Layer name: bn_dense_0, layer type: BatchNormalization, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_act_0, layer type: Activation, input shapes: [[None, 42]], output shape: [None, 42]\n", - "Layer name: dense_1, layer type: Dense, input shapes: [[None, 42]], output shape: [None, 64]\n", - "Layer name: bn_dense_1, layer type: BatchNormalization, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: dense_act_1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: output_dense, layer type: Dense, input shapes: [[None, 64]], output shape: [None, 10]\n", - "Layer name: output_softmax, layer type: Softmax, input shapes: [[None, 10]], output shape: [None, 10]\n", - "Model\n", - " Precision: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " input_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " conv_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " conv_0_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_conv_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " scale: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " conv_act_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " conv_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " conv_1_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_conv_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " scale: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " conv_act_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " conv_2\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ParallelizationFactor:1\n", - " ConvImplementation:LineBuffer\n", - " conv_2_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_conv_2\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " scale: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " conv_act_2\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " pool_2\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " ConvImplementation:LineBuffer\n", - " flatten\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " dense_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_0_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_dense_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " scale: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_act_0\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " dense_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_1_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " bn_dense_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " scale: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " dense_act_1\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output_dense\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " weight: ap_fixed<16,6>\n", - " bias: ap_fixed<16,6>\n", - " accum: ap_fixed<16,6>\n", - " ReuseFactor: 1\n", - " output_dense_linear\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output_softmax\n", - " Trace: False\n", - " Precision\n", - " result: ap_fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n" - ] - }, - { - "ename": "TypeError", - "evalue": "keras_to_hls() got multiple values for argument 'config'", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[18], line 9\u001b[0m\n\u001b[1;32m 5\u001b[0m hls_config \u001b[38;5;241m=\u001b[39m hls4ml\u001b[38;5;241m.\u001b[39mutils\u001b[38;5;241m.\u001b[39mconfig_from_keras_model(model, granularity\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mname\u001b[39m\u001b[38;5;124m'\u001b[39m, backend\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVitis\u001b[39m\u001b[38;5;124m'\u001b[39m, default_precision\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124map_fixed<16,6>\u001b[39m\u001b[38;5;124m'\u001b[39m )\n\u001b[1;32m 7\u001b[0m plotting\u001b[38;5;241m.\u001b[39mprint_dict(hls_config)\n\u001b[0;32m----> 9\u001b[0m hls_model \u001b[38;5;241m=\u001b[39m \u001b[43mhls4ml\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconverters\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mkeras_to_hls\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mconfig\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mhls_config\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43moutput_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpruned_cnn\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mVitis\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mpart\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mxcu250-figd2104-2L-e\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mio_type\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mio_stream\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n\u001b[1;32m 10\u001b[0m hls_model\u001b[38;5;241m.\u001b[39mcompile()\n", - "\u001b[0;31mTypeError\u001b[0m: keras_to_hls() got multiple values for argument 'config'" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "import plotting\n", @@ -1562,7 +683,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1591,7 +711,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1626,7 +745,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1647,7 +765,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1658,7 +775,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1741,7 +857,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1770,7 +885,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1837,7 +951,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -1857,7 +970,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": { "deletable": false, @@ -1964,7 +1076,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -2113,7 +1224,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -2161,7 +1271,6 @@ ] }, { - "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ @@ -2207,7 +1316,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.11" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part7a_bitstream.ipynb b/part7a_bitstream.ipynb index 3e2dd7d2..1aa91da8 100644 --- a/part7a_bitstream.ipynb +++ b/part7a_bitstream.ipynb @@ -12,28 +12,12 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "id": "a62a80f2", "metadata": { "tags": [] }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 13:23:05.486775: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-19 13:23:05.489335: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 13:23:05.523412: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-19 13:23:05.523430: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-19 13:23:05.523450: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-19 13:23:05.529326: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-19 13:23:05.529739: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-19 13:23:06.280839: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "from tensorflow.keras.models import load_model\n", "from qkeras.utils import _add_supported_quantized_objects\n", @@ -42,7 +26,7 @@ "_add_supported_quantized_objects(co)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_Vivado'] + '/bin:' + os.environ['PATH']" ] }, { @@ -56,35 +40,12 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "id": "800575f6", "metadata": { "tags": [] }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-19 13:23:11.431733: W tensorflow/core/common_runtime/gpu/gpu_device.cc:2211] Cannot dlopen some GPU libraries. Please make sure the missing libraries mentioned above are installed properly if you would like to use GPU. Follow the guide at https://www.tensorflow.org/install/gpu for how to download and setup the required libraries for your platform.\n", - "Skipping registering GPU devices...\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:No training configuration found in the save file, so the model was *not* compiled. Compile it manually.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:tensorflow:No training configuration found in the save file, so the model was *not* compiled. Compile it manually.\n" - ] - } - ], + "outputs": [], "source": [ "model = load_model('model_3/KERAS_check_best_model.h5', custom_objects=co)" ] @@ -103,168 +64,12 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "id": "53a76ca8", "metadata": { "tags": [] }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "-----------------------------------\n", - "Model\n", - " Precision: fixed<16,6>\n", - " ReuseFactor: 1\n", - " Strategy: Latency\n", - " BramFactor: 1000000000\n", - " TraceOutput: False\n", - "LayerName\n", - " fc1_input\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " fc1\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 64\n", - " fc1_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu1\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc2\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 64\n", - " fc2_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu2\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " fc3\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 64\n", - " fc3_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " relu3\n", - " Trace: False\n", - " Precision\n", - " result: ufixed<6,0,RND_CONV,SAT>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " output\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " weight: fixed<6,1>\n", - " bias: fixed<6,1>\n", - " accum: fixed<16,6>\n", - " ReuseFactor: 64\n", - " output_linear\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " softmax\n", - " Trace: False\n", - " Precision\n", - " result: fixed<16,6>\n", - " table: fixed<18,8>\n", - " exp_table: fixed<18,8,RND,SAT>\n", - " inv_table: fixed<18,8,RND,SAT>\n", - " ReuseFactor: 1\n", - " TableSize: 1024\n", - " Implementation: stable\n", - " Skip: False\n", - " exp_table_t: ap_fixed<18,8>\n", - " inv_table_t: ap_fixed<18,4>\n", - "-----------------------------------\n", - "Interpreting Sequential\n", - "Topology:\n", - "Layer name: fc1_input, layer type: InputLayer, input shapes: [[None, 16]], output shape: [None, 16]\n", - "Layer name: fc1, layer type: QDense, input shapes: [[None, 16]], output shape: [None, 64]\n", - "Layer name: relu1, layer type: Activation, input shapes: [[None, 64]], output shape: [None, 64]\n", - "Layer name: fc2, layer type: QDense, input shapes: [[None, 64]], output shape: [None, 32]\n", - "Layer name: relu2, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: fc3, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: relu3, layer type: Activation, input shapes: [[None, 32]], output shape: [None, 32]\n", - "Layer name: output, layer type: QDense, input shapes: [[None, 32]], output shape: [None, 5]\n", - "Layer name: softmax, layer type: Softmax, input shapes: [[None, 5]], output shape: [None, 5]\n", - "Creating HLS model\n", - "WARNING: You set a Part that does not correspond to the Board you specified. The correct Part is now set.\n", - "Writing HLS project\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/jschulte/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/keras/src/engine/training.py:3079: UserWarning: You are saving your model as an HDF5 file via `model.save()`. This file format is considered legacy. We recommend using instead the native Keras format, e.g. `model.save('my_model.keras')`.\n", - " saving_api.save_model(\n", - "WARNING:tensorflow:Compiled the loaded model, but the compiled metrics have yet to be built. `model.compile_metrics` will be empty until you train or evaluate the model.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Done\n" - ] - } - ], + "outputs": [], "source": [ "import hls4ml\n", "import plotting\n", @@ -296,30 +101,12 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": null, "id": "16dbd354", "metadata": { "tags": [] }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Part: xcvu13p-flga2577-2-e\n", - "ClockPeriod: 5\n", - "IOType: io_parallel\n", - "HLSConfig\n", - "AcceleratorConfig\n", - " Board: pynq-z2\n", - " Interface: axi_stream\n", - " Driver: python\n", - " Precision\n", - " Input: float\n", - " Output: float\n" - ] - } - ], + "outputs": [], "source": [ "plotting.print_dict(hls4ml.backends.get_backend('VivadoAccelerator').create_initial_config())" ] @@ -335,7 +122,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": null, "id": "267ecbfc", "metadata": { "tags": [] @@ -372,25 +159,10 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": null, "id": "555b1243", "metadata": {}, - "outputs": [ - { - "ename": "Exception", - "evalue": "Vivado HLS installation not found. Make sure \"vivado_hls\" is on PATH.", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mException\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[8], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mhls_model\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\u001b[43mcsim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mFalse\u001b[39;49;00m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mexport\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mTrue\u001b[39;49;00m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mbitfile\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43;01mTrue\u001b[39;49;00m\u001b[43m)\u001b[49m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/model/graph.py:871\u001b[0m, in \u001b[0;36mModelGraph.build\u001b[0;34m(self, **kwargs)\u001b[0m\n\u001b[1;32m 867\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m os\u001b[38;5;241m.\u001b[39mpath\u001b[38;5;241m.\u001b[39mexists(\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mconfig\u001b[38;5;241m.\u001b[39mget_output_dir()):\n\u001b[1;32m 868\u001b[0m \u001b[38;5;66;03m# Assume the project wasn't written before\u001b[39;00m\n\u001b[1;32m 869\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mwrite()\n\u001b[0;32m--> 871\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mconfig\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbackend\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/backends/vivado_accelerator/vivado_accelerator_backend.py:28\u001b[0m, in \u001b[0;36mVivadoAcceleratorBackend.build\u001b[0;34m(self, model, reset, csim, synth, cosim, validation, export, vsynth, fifo_opt, bitfile)\u001b[0m\n\u001b[1;32m 14\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m \u001b[38;5;21mbuild\u001b[39m(\n\u001b[1;32m 15\u001b[0m \u001b[38;5;28mself\u001b[39m,\n\u001b[1;32m 16\u001b[0m model,\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 26\u001b[0m ):\n\u001b[1;32m 27\u001b[0m \u001b[38;5;66;03m# run the VivadoBackend build\u001b[39;00m\n\u001b[0;32m---> 28\u001b[0m \u001b[38;5;28;43msuper\u001b[39;49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbuild\u001b[49m\u001b[43m(\u001b[49m\n\u001b[1;32m 29\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 30\u001b[0m \u001b[43m \u001b[49m\u001b[43mreset\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mreset\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 31\u001b[0m \u001b[43m \u001b[49m\u001b[43mcsim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcsim\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 32\u001b[0m \u001b[43m \u001b[49m\u001b[43msynth\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43msynth\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 33\u001b[0m \u001b[43m \u001b[49m\u001b[43mcosim\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcosim\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 34\u001b[0m \u001b[43m \u001b[49m\u001b[43mvalidation\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mvalidation\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 35\u001b[0m \u001b[43m \u001b[49m\u001b[43mexport\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mexport\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 36\u001b[0m \u001b[43m \u001b[49m\u001b[43mvsynth\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mvsynth\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 37\u001b[0m \u001b[43m \u001b[49m\u001b[43mfifo_opt\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mfifo_opt\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 38\u001b[0m \u001b[43m \u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 39\u001b[0m \u001b[38;5;66;03m# Get Config to view Board and Platform\u001b[39;00m\n\u001b[1;32m 40\u001b[0m \u001b[38;5;28;01mfrom\u001b[39;00m \u001b[38;5;21;01mhls4ml\u001b[39;00m\u001b[38;5;21;01m.\u001b[39;00m\u001b[38;5;21;01mbackends\u001b[39;00m \u001b[38;5;28;01mimport\u001b[39;00m VivadoAcceleratorConfig\n", - "File \u001b[0;32m~/.conda/envs/hls4ml-testing/lib/python3.10/site-packages/hls4ml/backends/vivado/vivado_backend.py:203\u001b[0m, in \u001b[0;36mVivadoBackend.build\u001b[0;34m(self, model, reset, csim, synth, cosim, validation, export, vsynth, fifo_opt)\u001b[0m\n\u001b[1;32m 201\u001b[0m found \u001b[38;5;241m=\u001b[39m os\u001b[38;5;241m.\u001b[39msystem(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mcommand -v vivado_hls > /dev/null\u001b[39m\u001b[38;5;124m'\u001b[39m)\n\u001b[1;32m 202\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m found \u001b[38;5;241m!=\u001b[39m \u001b[38;5;241m0\u001b[39m:\n\u001b[0;32m--> 203\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mException\u001b[39;00m(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVivado HLS installation not found. Make sure \u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mvivado_hls\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m is on PATH.\u001b[39m\u001b[38;5;124m'\u001b[39m)\n\u001b[1;32m 205\u001b[0m curr_dir \u001b[38;5;241m=\u001b[39m os\u001b[38;5;241m.\u001b[39mgetcwd()\n\u001b[1;32m 206\u001b[0m os\u001b[38;5;241m.\u001b[39mchdir(model\u001b[38;5;241m.\u001b[39mconfig\u001b[38;5;241m.\u001b[39mget_output_dir())\n", - "\u001b[0;31mException\u001b[0m: Vivado HLS installation not found. Make sure \"vivado_hls\" is on PATH." - ] - } - ], + "outputs": [], "source": [ "hls_model.build(csim=False, export=True, bitfile=True)" ] @@ -510,7 +282,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part7b_deployment.ipynb b/part7b_deployment.ipynb index 1665fe04..43760018 100644 --- a/part7b_deployment.ipynb +++ b/part7b_deployment.ipynb @@ -112,7 +112,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part7c_validation.ipynb b/part7c_validation.ipynb index bf837b99..e3745d13 100644 --- a/part7c_validation.ipynb +++ b/part7c_validation.ipynb @@ -72,7 +72,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.16" } }, "nbformat": 4, diff --git a/part8_symbolic_regression.ipynb b/part8_symbolic_regression.ipynb index f85e2f92..2f6a98f7 100644 --- a/part8_symbolic_regression.ipynb +++ b/part8_symbolic_regression.ipynb @@ -10,26 +10,10 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "id": "ede2226f", "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2024-09-20 16:18:59.919229: I tensorflow/core/util/port.cc:111] oneDNN custom operations are on. You may see slightly different numerical results due to floating-point round-off errors from different computation orders. To turn them off, set the environment variable `TF_ENABLE_ONEDNN_OPTS=0`.\n", - "2024-09-20 16:18:59.921871: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-20 16:18:59.958137: E tensorflow/compiler/xla/stream_executor/cuda/cuda_dnn.cc:9342] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", - "2024-09-20 16:18:59.958159: E tensorflow/compiler/xla/stream_executor/cuda/cuda_fft.cc:609] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", - "2024-09-20 16:18:59.958183: E tensorflow/compiler/xla/stream_executor/cuda/cuda_blas.cc:1518] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", - "2024-09-20 16:18:59.964502: I tensorflow/tsl/cuda/cudart_stub.cc:28] Could not find cuda drivers on your machine, GPU will not be used.\n", - "2024-09-20 16:18:59.964976: I tensorflow/core/platform/cpu_feature_guard.cc:182] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", - "To enable the following instructions: AVX2 AVX512F AVX512_VNNI FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", - "2024-09-20 16:19:00.691011: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n" - ] - } - ], + "outputs": [], "source": [ "import numpy as np\n", "import sympy\n", @@ -52,30 +36,10 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": null, "id": "ee6d96bd", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['zlogz', 'c1_b0_mmdt', 'c1_b1_mmdt', 'c1_b2_mmdt', 'c2_b1_mmdt', 'c2_b2_mmdt', 'd2_b1_mmdt', 'd2_b2_mmdt', 'd2_a1_b1_mmdt', 'd2_a1_b2_mmdt', 'm2_b1_mmdt', 'm2_b2_mmdt', 'n2_b1_mmdt', 'n2_b2_mmdt', 'mass_mmdt', 'multiplicity']\n", - "(830000, 16) (830000,)\n", - "['g' 'w' 't' 'z' 'w' 'w' 't' 'g' 'z' 'g']\n", - "[[ 1. -1. -1. -1. -1.]\n", - " [-1. -1. -1. 1. -1.]\n", - " [-1. -1. 1. -1. -1.]\n", - " [-1. -1. -1. -1. 1.]\n", - " [-1. -1. -1. 1. -1.]\n", - " [-1. -1. -1. 1. -1.]\n", - " [-1. -1. 1. -1. -1.]\n", - " [ 1. -1. -1. -1. -1.]\n", - " [-1. -1. -1. -1. 1.]\n", - " [ 1. -1. -1. -1. -1.]]\n" - ] - } - ], + "outputs": [], "source": [ "data = fetch_openml('hls4ml_lhc_jets_hlf')\n", "X, Y = data['data'].to_numpy(), data['target'].to_numpy()\n", @@ -93,21 +57,10 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": null, "id": "0502aea8", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "X_train.shape: (8000, 16)\n", - "Y_train.shape: (8000, 5)\n", - "X_test.shape: (415000, 16)\n", - "Y_test.shape: (415000, 5)\n" - ] - } - ], + "outputs": [], "source": [ "X_train, X_test, Y_train, Y_test = train_test_split(X, Y, test_size=0.5, random_state=123)\n", "\n", @@ -517,8 +470,8 @@ "metadata": {}, "outputs": [], "source": [ - "!source ${XILINX_VIVADO}/settings64.sh\n", - "!vivado_hls -f build_prj.tcl \"reset=1 synth=1 csim=0 cosim=0 validation=0 export=0 vsynth=0\"\n", + "!source ${XILINX_VITIS}/settings64.sh\n", + "!vitis_hls -f build_prj.tcl \"reset=1 synth=1 csim=0 cosim=0 validation=0 export=0 vsynth=0\"\n", "!cat my-hls-test/myproject_prj/solution1/syn/report/myproject_csynth.rpt" ] } @@ -539,7 +492,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.14" + "version": "3.10.16" } }, "nbformat": 4, From 73caba0d89a86c7faca0643cd53a164084140443 Mon Sep 17 00:00:00 2001 From: "pre-commit-ci[bot]" <66853113+pre-commit-ci[bot]@users.noreply.github.com> Date: Tue, 10 Dec 2024 16:57:08 +0000 Subject: [PATCH 10/13] [pre-commit.ci] auto fixes from pre-commit hooks --- part1_getting_started.ipynb | 2 +- part5_bdt.ipynb | 2 +- part6_cnns.ipynb | 8 ++++++-- 3 files changed, 8 insertions(+), 4 deletions(-) diff --git a/part1_getting_started.ipynb b/part1_getting_started.ipynb index fb36146c..955cae81 100644 --- a/part1_getting_started.ipynb +++ b/part1_getting_started.ipynb @@ -27,7 +27,7 @@ "tf.random.set_seed(seed)\n", "import os\n", "\n", - "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" + "os.environ['PATH'] = os.environ['XILINX_VITIS'] + '/bin:' + os.environ['PATH']" ] }, { diff --git a/part5_bdt.ipynb b/part5_bdt.ipynb index 5a7b0cd6..c78b5456 100644 --- a/part5_bdt.ipynb +++ b/part5_bdt.ipynb @@ -166,7 +166,7 @@ "outputs": [], "source": [ "cnf = conifer.converters.convert_from_sklearn(clf, cfg)\n", - "#cnf = conifer.model(clf, conifer.converters.sklearn, conifer.backends.xilinxhls, cfg)\n", + "# cnf = conifer.model(clf, conifer.converters.sklearn, conifer.backends.xilinxhls, cfg)\n", "cnf.compile()" ] }, diff --git a/part6_cnns.ipynb b/part6_cnns.ipynb index aa37c94e..7beb4bbb 100644 --- a/part6_cnns.ipynb +++ b/part6_cnns.ipynb @@ -670,7 +670,9 @@ "import plotting\n", "\n", "# First, the baseline model\n", - "hls_config = hls4ml.utils.config_from_keras_model(model, granularity='name', backend='Vitis', default_precision='ap_fixed<16,6>' )\n", + "hls_config = hls4ml.utils.config_from_keras_model(\n", + " model, granularity='name', backend='Vitis', default_precision='ap_fixed<16,6>'\n", + ")\n", "\n", "plotting.print_dict(hls_config)\n", "\n", @@ -678,7 +680,9 @@ "hls_model = hls4ml.converters.convert_from_keras_model(\n", " model, hls_config=hls_config, backend='Vitis', output_dir='model_1/hls4ml_prj', part='xcu250-figd2104-2L-e'\n", ")\n", - "hls_model = hls4ml.converters.keras_to_hls(model, config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream')\n", + "hls_model = hls4ml.converters.keras_to_hls(\n", + " model, config=hls_config, output_dir='pruned_cnn', backend='Vitis', part='xcu250-figd2104-2L-e', io_type='io_stream'\n", + ")\n", "hls_model.compile()" ] }, From 38daea5558c3b341e063db3edba3781a3f70a6ab Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Tue, 10 Dec 2024 12:09:15 -0500 Subject: [PATCH 11/13] remove docker action --- .github/workflows/docker-publish.yml | 38 ---------------------------- 1 file changed, 38 deletions(-) delete mode 100644 .github/workflows/docker-publish.yml diff --git a/.github/workflows/docker-publish.yml b/.github/workflows/docker-publish.yml deleted file mode 100644 index 6b99bb2a..00000000 --- a/.github/workflows/docker-publish.yml +++ /dev/null @@ -1,38 +0,0 @@ -name: docker-publish - -on: - pull_request: - branches: - - 'main' - push: - branches: - - 'main' - -jobs: - docker: - runs-on: ubuntu-latest - permissions: - contents: read - packages: write - steps: - - - name: Set up Docker Buildx - uses: docker/setup-buildx-action@v3 - - - name: Login to GitHub Container Registry - uses: docker/login-action@v3 - with: - registry: ghcr.io - username: ${{ github.repository_owner }} - password: ${{ secrets.GITHUB_TOKEN }} - - - name: Build and push - uses: docker/build-push-action@v6 - with: - push: ${{ github.event_name != 'pull_request' }} - file: docker/Dockerfile - cache-from: type=gha - cache-to: type=gha,mode=max - tags: | - ghcr.io/${{ github.repository }}/hls4ml-0.8.0:latest - ghcr.io/${{ github.repository }}/hls4ml-0.8.0:${{ github.sha }} From a1b6a01f992b5340ac76418ff9bd3027ecfbdef9 Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Tue, 10 Dec 2024 12:12:39 -0500 Subject: [PATCH 12/13] bump python version in deploy action --- .github/workflows/deploy.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/deploy.yml b/.github/workflows/deploy.yml index 1e67a5c6..59d5c60c 100644 --- a/.github/workflows/deploy.yml +++ b/.github/workflows/deploy.yml @@ -25,7 +25,7 @@ jobs: channels: conda-forge activate-environment: hls4ml-tutorial environment-file: environment.yml - python-version: 3.10.10 + python-version: 3.10.16 auto-activate-base: false # Check dependencies From cddb05f25974f20f50851ae40f3b92d9c7e12fcf Mon Sep 17 00:00:00 2001 From: Jan-Frederik Schulte Date: Tue, 10 Dec 2024 12:37:08 -0500 Subject: [PATCH 13/13] bump some version numbers --- environment.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/environment.yml b/environment.yml index c35ce5e6..53aa9d8f 100644 --- a/environment.yml +++ b/environment.yml @@ -16,6 +16,6 @@ dependencies: - widgetsnbextension==3.6.0 - pip==23.0.1 - pip: - - hls4ml[profiling]==1.0.0 - - conifer==0.2b0 - - pysr==0.16.3 + - hls4ml[profiling,optimization,sr,HGQ]==1.0.0 + - conifer==1.5 + - pysr==1.1.0